T. H. Heil, Z. Smith, and J. E. Smith, Improving branch predictors by correlating on data values, 1999.
DOI : 10.1109/micro.1999.809440

URL : http://www.ece.wisc.edu/~jes/papers/micro99.heil.pdf

M. H. Lipasti and J. P. Shen, Exceeding the dataflow limit via value prediction, Proceedings of the Annual International Symposium on Microarchitecture, pp.226-237, 1996.
DOI : 10.1109/micro.1996.566464

URL : http://www.ece.ucdavis.edu/~akella/270W05/reading/lipasti96exceeding.pdf

A. Mendelson and F. Gabbay, Speculative execution based on value prediction, 1997.

A. Perais and A. Seznec, EOLE: Paving the Way for an Effective Implementation of Value Prediction, International Symposium on Computer Architecture, vol.42, pp.481-492, 2014.
DOI : 10.1109/isca.2014.6853205

URL : https://hal.archives-ouvertes.fr/hal-00907973

A. Perais and A. Seznec, Practical data value speculation for future high-end processors, International Symposium on High Performance Computer Architecture, pp.428-439, 2014.
DOI : 10.1109/hpca.2014.6835952

URL : https://hal.archives-ouvertes.fr/hal-01088116

N. Riley and C. B. Zilles, Probabilistic counter updates for predictor hysteresis and stratification, Proceedings of the International Symposium on High Performance Computer Architecture, pp.110-120, 2006.
DOI : 10.1109/hpca.2006.1598118

URL : http://www-faculty.cs.uiuc.edu/~zilles/papers/probabilistic.hpca2006.pdf

Y. Sazeides and J. E. Smith, The predictability of data values, Proceedings of the Annual International Symposium on Microarchitecture, pp.248-258, 1997.

A. Seznec and P. Michaud, A case for (partially) tagged geometric history length branch prediction, Journal of Instruction Level Parallelism, vol.8, pp.1-23, 2006.

R. Sheikh, H. W. Cain, and R. Damodaran, Load value prediction via path-based address prediction: avoiding mispredictions due to conflicting stores, Proceedings of the 50th Annual IEEE/ACM International Symposium on Microarchitecture, MICRO 2017, pp.423-435, 2017.
DOI : 10.1145/3123939.3123951