J. D. Owens, W. J. Dally, R. Ho, D. N. Jayasimha, S. W. Keckler et al., Research challenges for on-chip interconnection networks, IEEE Micro, vol.27, issue.5, pp.96-108, 2007.

A. Cilardo and E. Fusella, Design automation for application-specific on-chip interconnects: A survey, Integration, the VLSI Journal, vol.52, pp.102-121, 2016.

N. Magen, A. Kolodny, U. Weiser, and N. Shamir, Interconnect-power dissipation in a microprocessor, Proceedings of the 2004 international workshop on System level interconnect prediction, pp.7-13, 2004.

C. Batten, A. Joshi, V. Stojanovic, and K. Asanovic, Designing chip-level nanophotonic interconnection networks, IEEE Journal on Emerging and Selected Topics in Circuits and Systems, vol.2, issue.2, pp.137-153, 2012.

A. K. Dutta, WDM technologies: optical networks, 2004.

L. H. Duong, Coherent and incoherent crosstalk noise analyses in interchip/intrachip optical interconnection networks, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, pp.2475-2487, 2016.
DOI : 10.1109/tvlsi.2015.2511039

URL : https://hal.archives-ouvertes.fr/hal-02088308

E. Fusella and A. Cilardo, Lighting up on-chip communications with photonics: Design tradeoffs for optical noc architectures, IEEE Circuits and Systems Magazine, vol.16, issue.3, pp.4-14, 2016.
DOI : 10.1109/mcas.2016.2583670

K. Deb, A fast elitist non-dominated sorting genetic algorithm for multi-objective optimization: Nsga-ii, International Conference on Parallel Problem Solving From Nature, pp.849-858, 2000.
DOI : 10.1007/3-540-45356-3_83

H. Zang, A review of routing and wavelength assignment approaches for wavelength-routed optical wdm networks. Optical Networks Magazine, pp.47-60, 2000.

T. E. Thomas and . Bala, Multiwavelength optical networks: a layered approach, 1999.

S. V. Chittamuru, Improving crosstalk resilience with wavelength spacing in photonic crossbar-based network-on-chip architectures, IEEE 58th International Midwest Symposium on Circuits and Systems (MWSCAS), pp.1-4, 2015.
DOI : 10.1109/mwscas.2015.7282035

M. Nikdast, Crosstalk noise in wdm-based optical networks-on-chip: A formal study and comparison, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol.23, pp.2552-2565, 2015.
DOI : 10.1109/tvlsi.2014.2370892

X. Wang, Rpnoc: a ring-based packet-switched optical network-onchip, IEEE Photonics Technology Letters, vol.27, issue.4, pp.423-426, 2015.

S. and L. Beux, Optical ring network-on-chip (ornoc): Architecture and design methodology, Design, Automation & Test in Europe, pp.1-6, 2011.
URL : https://hal.archives-ouvertes.fr/inria-00618600

E. Fusella, Phonocmap: an application mapping tool for photonic networks-on-chip, 2016 Design, Automation & Test in Europe Conference & Exhibition (DATE), pp.289-292, 2016.

L. Zhou and A. K. Kodi, Probe: Prediction-based optical bandwidth scaling for energy-efficient nocs, Seventh IEEE/ACM International Symposium on Networks-on-Chip (NoCS), pp.1-8, 2013.
DOI : 10.1109/nocs.2013.6558413

R. Rodes, D. Jesper-bevensee-jensen, C. Zibar, E. Neumeyr, J. Rönneberg et al., Vertical-cavity surface-emitting laser based digital coherent detection for multigigabit long reach passive optical links, Microwave and Optical Technology Letters, vol.53, issue.11, pp.2462-2464, 2011.
DOI : 10.1002/mop.26331

C. Sciancalepore, B. B. Bakir, X. Letartre, J. Harduin, N. Olivier et al., Cmos-compatible ultracompact 1.55 um emitting vcsels using double photonic crystal mirrors, IEEE Photonics Technology Letters, vol.24, issue.6, pp.455-457, 2012.

E. Macii, Ultra low-power electronics and design, 2004.

X. Wu, J. Xu, Y. Ye, Z. Wang, M. Nikdast et al., Suor: Sectioned undirectional optical ring for chip multiprocessor, ACM Journal on Emerging Technologies in Computing Systems (JETC), vol.10, issue.4, p.29, 2014.

H. Sébastien-le-beux, . Li, O. Ian, K. Connor, X. Cheshmi et al., Chameleon: Channel efficient optical network-on-chip, Proceedings of the conference on Design, Automation & Test in Europe, p.304, 2014.

A. Demir, G. Zhao, S. Freisem, X. Liu, and D. Deppe, Scaling properties of lithographic vcsels, SPIE OPTO, pages 79520O-79520O. International Society for Optics and Photonics, 2011.
DOI : 10.1117/12.875579

C. Li, R. Bai, A. Shafik, E. Z. Tabasy, G. Tang et al., A ring-resonator-based silicon photonics transceiver with bias-based wavelength stabilization and adaptive-power-sensitivity receiver, 2013 IEEE International Solid-State Circuits Conference Digest of Technical Papers, pp.124-125, 2013.

C. Chen, J. L. Abelln, and A. Joshi, Managing laser power in siliconphotonic noc through cache and noc reconfiguration, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol.34, issue.6, pp.972-985, 2015.

C. Chen, T. Zhang, P. Contu, J. Klamkin, A. K. Coskun et al., Sharing and placement of on-chip laser sources in silicon-photonic nocs, Eighth IEEE/ACM International Symposium on Networks-on-Chip (NoCS), pp.88-95, 2014.

F. Lan, R. Wu, C. Zhang, Y. Pan, and K. T. Cheng, Dlps: Dynamic laser power scaling for optical network-on-chip, 2017 22nd Asia and South Pacific Design Automation Conference (ASP-DAC), pp.726-731, 2017.
DOI : 10.1109/aspdac.2017.7858410

M. Bahadori, S. Rumley, D. Nikolova, and K. Bergman, Comprehensive design space exploration of silicon photonic interconnects, Journal of Lightwave Technology, vol.34, issue.12, 2016.

S. Xiao, Modeling and measurement of losses in silicon-on-insulator resonators and bends, Optics Express, vol.15, issue.17, pp.10553-10561, 2007.

J. Luo, A. Elantably, V. D. Pham, C. Killian, D. Chillet et al., Performance and energy aware wavelength allocation on ring-based wdm 3d optical noc, Design, Automation Test in Europe Conference Exhibition, pp.1372-1377, 2017.
URL : https://hal.archives-ouvertes.fr/hal-01416958

P. Dong, W. Qian, S. Liao, H. Liang, C. C. Kung et al., Low loss silicon waveguides for application of optical interconnects, IEEE Photonics Society Summer Topicals 2010, pp.191-192, 2010.

M. Kennedy and A. K. Kodi, Laser pooling: Static and dynamic laser power allocation for on-chip optical interconnects, Journal of Lightwave Technology, vol.35, issue.15, pp.3159-3167, 2017.