A. Acharya and U. Bondhugula, Pluto+: Near-complete modeling of affine transformations for parallelism and locality, SIGPLAN Notices, vol.50, issue.8, pp.54-64, 2015.

W. Achtziger and K. Zimmermann, Finding quadratic schedules for affine recurrence equations via nonsmooth optimization, Journal of VLSI Signal Processing Systems, vol.25, issue.3, pp.235-260, 2000.

C. Alias, F. Baray, and A. Darte, Bee+Cl@k: An implementation of lattice-based array contraction in the source-to-source translator Rose, ACM Conf. on Languages, Compilers, and Tools for Embedded Systems (LCTES'07), 2007.

C. Alias and A. Plesco, Data-aware Process Networks, 2015.
URL : https://hal.archives-ouvertes.fr/hal-01158726

A. Saman-prabhath, Parallelizing Compiler Techniques Based on Linear Inequalities, 1997.

V. Bandishti, I. Pananilath, and U. Bondhugula, Tiling stencil computations to maximize parallelism, Proceedings of the International Conference on High Performance Computing, Networking, Storage and Analysis, SC '12, pp.1-11, 2012.

A. Muthu-manikandan-baskaran, S. Hartono, T. Tavarageri, J. Henretty, P. Ramanujam et al., Parameterized tiling revisited, Proceedings of the 8th Annual IEEE/ACM Iooss & Alias & Rajopadhye International Symposium on Code Generation and Optimization, CGO '10, pp.200-209, 2010.

C. Bastoul, Code generation in the polyhedral model is easier than you think, Proceedings of the 13th International Conference on Parallel Architectures and Compilation Techniques, pp.7-16, 2004.
URL : https://hal.archives-ouvertes.fr/hal-00017260

C. Bastoul, Code generation in the polyhedral model is easier than you think, Proceedings of the 13th International Conference on Parallel Architectures and Compilation Techniques, PACT '04, pp.7-16, 2004.
URL : https://hal.archives-ouvertes.fr/hal-00017260

U. Bondhugula, A. Hartono, J. Ramanujam, and P. Sadayappan, A practical automatic polyhedral parallelizer and locality optimizer, Proceedings of the 29th ACM SIGPLAN Conference on Programming Language Design and Implementation, PLDI '08, pp.101-113, 2008.

J. Bu, P. Ed-f-deprettere, and . Dewilde, A design methodology for fixed-size systolic arrays, Proceedings of the International Conference on, pp.591-602, 1990.

A. Darte, Regular partitioning for synthesizing fixed-size systolic arrays, INTEGRATION, the VLSI journal, vol.12, issue.3, pp.293-304, 1991.

A. Darte, R. Schreiber, and G. Villard, Lattice-based memory allocation, Proceedings of the 2003 International Conference on Compilers, Architecture and Synthesis for Embedded Systems, CASES '03, pp.298-308, 2003.
URL : https://hal.archives-ouvertes.fr/hal-02101912

P. Feautrier, Dataflow analysis of array and scalar references, International Journal of Parallel Programming, vol.20, issue.1, pp.23-53, 1991.

P. Feautrier, Some efficient solutions to the affine scheduling problem: I. one-dimensional time, International Journal of Parallel Programming, vol.21, issue.5, pp.313-348, 1992.

P. Feautrier, Some efficient solutions to the affine scheduling problem. part ii. multidimensional time, International Journal of Parallel Programming, vol.21, issue.6, pp.389-420, 1992.

P. Feautrier, The power of polynomials, 5th International Workshop on Polyhedral Compilation Techniques (IMPACT'15), pp.1-5, 2015.
URL : https://hal.archives-ouvertes.fr/hal-01094787

M. Frigo and . Steven-g-johnson, Fftw: An adaptive software architecture for the fft, Proceedings of the 1998 IEEE International Conference on, vol.3, pp.1381-1384, 1998.

T. Grosser, A. Cohen, J. Holewinski, P. Sadayappan, and S. Verdoolaege, Hybrid hexagonal/classical tiling for GPUs, Proceedings of Annual IEEE/ACM International Symposium on Code Generation and Optimization, CGO '14, pp.66-75, 2014.
URL : https://hal.archives-ouvertes.fr/hal-00911177

T. Grosser, H. Zheng, R. Aloor, and A. Simbürger, Armin Größlinger, and LouisNoël Pouchet. Polly-polyhedral optimization in LLVM, 1st International Workshop on Polyhedral Compilation Techniques (IMPACT), pp.1-6, 2011.

A. Grosslinger, M. Griebl, and C. Lengauer, Introducing non-linear parameters to the polyhedron model, 2004.

A. Hartono, M. M. Baskaran, J. Ramanujam, and P. Sadayappan, Dyntile: Parametric tiled loop generation for parallel execution on multicore processors, International Symposium on Parallel Distributed Processing (IPDPS), pp.1-12, 2010.

A. Hartono, C. Muthu-manikandan-baskaran, A. Bastoul, S. Cohen, B. Krishnamoorthy et al., Parametric multi-level tiling of imperfectly nested loops, Proceedings of the 23rd International Conference on Supercomputing, ICS '09, pp.147-157, 2009.
URL : https://hal.archives-ouvertes.fr/hal-00645328

F. Irigoin and R. Triolet, Supernode partitioning, Proceedings of the 15th ACM SIGPLANSIGACT Symposium on Principles of Programming Languages, POPL'88, pp.319-329, 1988.

D. Kim and S. Rajopadhye, Efficient tiled loop generation: D-tiling, Proceedings of the 22Nd International Conference on Languages and Compilers for Parallel Computing, LCPC'09, pp.293-307, 2010.

D. Kim, V. Sanjay, and . Rajopadhye, Parameterized tiling for imperfectly nested loops, 2009.

D. Kim, L. Renganarayanan, D. Rostron, V. Sanjay, M. M. Rajopadhye et al., Multi-level tiling: M for the price of one, Proceedings of the ACM/IEEE Conference on High Performance Networking and Computing, p.51, 2007.

M. Kong, A. Pop, L. Pouchet, R. Govindarajan, A. Cohen et al., Compiler/runtime framework for dynamic dataflow parallelization of tiled programs, ACM Transactions on Architecture and Code Optimization, vol.11, issue.4, 2015.

S. Krishnamoorthy, M. Baskaran, U. Bondhugula, J. Ramanujam, A. Rountev et al., Effective automatic parallelization of stencil computations. SIGPLAN conference of Programing Language Design and Implementation, vol.42, pp.235-244, 2007.

D. Monica, E. E. Lam, M. E. Rothberg, and . Wolf, The cache performance and optimizations of blocked algorithms, In ACM SIGARCH Computer Architecture News, vol.19, pp.63-74, 1991.

H. L. Verge, C. Mauras, and P. Quinton, The ALPHA language and its use for the design of systolic arrays, Journal of VLSI Signal Processing, vol.3, issue.3, pp.173-182, 1991.

C. Mauras, ALPHA: un langage équationnel pour la conception et la programmation d'architectures parallèles synchrones, 1989.

S. Pop, A. Cohen, C. Bastoul, and S. Girbal, GRAPHITE: Loop optimizations based on the polyhedral model for GCC, Proceedings of the 4th GCC Developper's Summit, pp.1-18, 2006.
URL : https://hal.archives-ouvertes.fr/hal-01257284

M. Püschel, M. F. José, B. Moura, J. Singer, J. Xiong et al., Spiral: A generator for platform-adapted libraries of signal processing algorithms, The International Journal of High Performance Computing Applications, vol.18, issue.1, pp.21-45, 2004.

F. Quilleré and S. Rajopadhye, Optimizing memory usage in the polyhedral model, ACM Transactions on Programming Languages and Systems (TOPLAS), vol.22, issue.5, pp.773-815, 2000.

F. Quilleré, D. Sanjay-rajopadhye, and . Wilde, Generation of efficient nested loops from polyhedra, International Journal of Parallel Programming, vol.28, issue.5, pp.469-498, 2000.

P. Quinton and V. Van-dongen, Journal of VLSI signal processing systems for signal, image and video technology, vol.1, pp.95-113, 1989.

. Sanjay-v-rajopadhye, R. Purushothaman, and . Fujimoto, On synthesizing systolic arrays from recurrence equations with linear dependencies, International Conference on Foundations of Software Technology and Theoretical Computer Science, pp.488-503, 1986.

D. A. Reed, L. M. Adams, and M. L. Partick, Stencils and problem partitionings: Their influence on the performance of multiple processor systems, IEEE Transactions on Computers, vol.36, issue.7, pp.845-858, 1987.
DOI : 10.1109/tc.1987.1676980

URL : http://hdl.handle.net/2060/19860016523

D. Lakshminarayanan-renganarayanan, . Kim, V. Sanjay, M. M. Rajopadhye, and . Strout, Parameterized tiled loops for free, Proceedings of the ACM SIGPLAN 2007 Conference on Programming Language Design and Implementation, pp.405-414, 2007.

D. Lakshminarayanan-renganarayanan, . Kim, V. Sanjay, M. M. Rajopadhye, and . Strout, Parameterized loop tiling. ACM Trans. Program. Lang. Syst, vol.34, issue.1, p.3, 2012.

R. Schreiber, . Jack, and . Dongarra, Automatic blocking of nested loops, 1990.

S. Tavarageri, A. Hartono, M. Baskaran, L. Pouchet, J. Ramanujam et al., Parametric tiling of affine loop nests, 15th Workshop on Compilers for Parallel Computing (CPC'10), pp.1-15, 2010.

J. Teich and L. Thiele, Partitioning of processor arrays: A piecewise regular approach. Integration, the VLSI journal, vol.14, pp.297-332, 1993.

K. Trifunovic and A. Cohen, Enabling more optimizations in GRAPHITE: ignoring memory-based dependences, Proceedings of the 8th GCC Developper's Summit, 2010.
URL : https://hal.archives-ouvertes.fr/inria-00551509

S. Verdoolaege, isl: An integer set library for the polyhedral model, Mathematical Software (ICMS'10), vol.6327, pp.299-302, 2010.

C. Whaley and J. J. Dongarra, Automatically tuned linear algebra software, Proceedings of the 1998 ACM/IEEE conference on Supercomputing, pp.1-27, 1998.

E. Michael, M. S. Wolf, and . Lam, A data locality optimizing algorithm, ACM Sigplan Notices, vol.26, pp.30-44, 1991.

M. Wolfe, Iteration space tiling for memory hierarchies, Proceedings of the Third SIAM Conference on Parallel Processing for Scientific Computing, pp.357-361, 1989.

J. Xue, Loop Tiling for Parallelism, 2000.

T. Yuki, G. Gupta, D. Kim, T. Pathan, and S. V. Rajopadhye, Alphaz: A system for design space exploration in the polyhedral model, Languages and Compilers for Parallel Computing, 25th International Workshop, LCPC 2012, pp.17-31, 2012.