W. Allcock, B. Bernardoni, C. Bertoni, N. Getty, J. Insley et al., Ram as a network managed resource, 2018 IEEE International Parallel and Distributed Processing Symposium Workshops (IPDPSW), pp.99-106, 2018.

M. Arafa, B. Fahim, S. Kottapalli, A. Kumar, P. Lily et al., Cascade Lake: Next generation Intel Xeon scalable processor, IEEE Micro, vol.39, issue.2, pp.29-36, 2019.

A. David, R. D. Beckingsale, and . Hornung, Umpire: Status Report and Future Development Plan, vol.6, 2018.

F. Broquedis, J. Clet-ortega, S. Moreaud, N. Furmento, B. Goglin et al., hwloc: a Generic Framework for Managing Hardware Affinities in HPC Applications, Proceedings of the 18th Euromicro International Conference on Parallel, Distributed and Network-Based Processing (PDP2010), pp.180-186, 2010.
URL : https://hal.archives-ouvertes.fr/inria-00429889

C. Cantalupo, V. Venkatesan, J. R. Hammond, and S. Hammond, User Extensible Heap Manager for Heterogeneous Memory Platforms and Mixed Memory Policies, 2015.

, Collaboration of Oak Ridge, Argonne and Livermore National Laboratories. Draft CORAL build statement of work

L. B604142, Office of Science and the National Nuclear Security Administration's Advanced Simulation and Computing (ASC) Program, 2013.

. Fujitsu and . Supercomputer-fugaku, ISC High Performance, Booth Presentation, 2019.

B. Goglin, Exposing the Locality of Heterogeneous Memory Architectures to HPC Applications, International Symposium on Memory Systems, MEMSYS'16, 2016.
URL : https://hal.archives-ouvertes.fr/hal-01330194

A. Edgar, M. León, and . Hautreux, Achieving transparency mapping parallel applications: A memory hierarchy affair, International Symposium on Memory Systems, MEMSYS'18, 2018.

A. Li, W. Liu, R. B. Mads, B. Kristensen, H. Vinter et al., Exploring and analyzing the real impact of modern on-package memory on HPC scientific kernels, International Conference for High Performance Computing, Networking, Storage and Analysis, SC'17, 2017.

A. Narayan, T. Zhang, S. Aga, S. Narayanasamy, and A. K. Coskun, MOCA: Memory Object Classification and Allocation in Heterogeneous Memory Systems, Proceedings of the 2018 IEEE International Parallel and Distributed Processing Symposium, 2018.

. Nersc and . Knl, Cache Mode Performance, 2017.

H. Servat, A. Pena, G. Llort, E. Mercadal, H. Hoppe et al., Automating the Application Data Placement in Hybrid Memory Systems, Proceedings of the IEEE International Conference on Cluster Computing, 2017.

A. Sodani, R. Gramunt, J. Corbal, H. Kim, K. Vinod et al., Knights landing: Second-generation intel xeon phi product, IEEE Micro, vol.36, issue.2, pp.34-46, 2016.

A. Van-renen, L. Vogel, V. Leis, T. Neumann, and A. Kemper, Persistent Memory I/O Primitives, 2019.

S. Sudharshan, . Vazhkudai, R. Bronis, A. S. De-supinski, A. Bland et al., The design, deployment, and evaluation of the CORAL pre-exascale systems, International Conference for High Performance Computing, Networking, Storage, and Analysis, SC'18, vol.52, pp.1-52, 2018.

G. Voskuilen, A. F. Rodrigues, and S. D. Hammond, Analyzing Allocation Behavior for Multi-level Memory, Proceedings of the Second International Symposium on Memory Systems, MEMSYS '16, pp.204-207, 2016.

S. Williams, L. Ionkov, and M. Lang, NUMA Distance for Heterogeneous Memory, Proceedings of the Workshop on Memory Centric Programming for HPC, MCHPC'17, pp.30-34, 2017.