E. Francesquini, M. Castro, P. H. Penna, F. Dupros, H. Freitas et al., On the Energy Efficiency and Performance of Irregular Application Executions on Multicore, NUMA and Manycore Platforms, Journal of Parallel and Distributed Computing, vol.76, issue.C, pp.32-48, 2015.
URL : https://hal.archives-ouvertes.fr/hal-01092325

B. Bohnenstiehl, A. Stillmaker, J. Pimentel, T. Andreas, B. Liu et al., KiloCore: A 32-nm 1000-Processor Computational Array, IEEE Journal of Solid-State Circuits, vol.52, issue.4, pp.891-902, 2017.

D. Rossi, A. Pullini, I. Loi, M. Gautschi, F. K. Gurkaynak et al., Energy-efficient near-threshold parallel computing: The pulpv2 cluster, IEEE Micro, vol.37, issue.5, pp.20-31, 2017.

D. Melpignano, L. Benini, E. Flamand, B. Jego, T. Lepley et al., Platform 2012, a Many-Core Computing Accelerator for Embedded SoCs, Design Automation Conf, p.1137, 2012.

S. Davidson, S. Xie, C. Torng, K. Al-hawai, A. Rovinski et al., The Celerity Open-Source 511-Core RISC-V Tiered Accelerator Fabric: Fast Architectures and Design Methodologies for Fast Chips, IEEE Micro, vol.38, issue.2, pp.30-41, 2018.

B. De-dinechin, R. Ayrignac, P. Beaucamps, P. Couvert, B. Ganne et al., A clustered manycore processor architecture for embedded and accelerated applications, Int. Conf. on High Performance Extreme Computing, pp.1-6, 2013.

A. Olofsson, T. Nordstrom, and Z. Ul-abdin, Kickstarting highperformance energy-efficient manycore architectures with epiphany, Asilomar Conf. on Signals, Systems and Computers, pp.1719-1726, 2014.

F. Zheng, H. Li, H. Lv, F. Guo, X. Xu et al., Cooperative Computing Techniques for a Deeply Fused and Heterogeneous Many-Core Processor Architecture, Journal of Computer Science and Technology, vol.30, issue.1, pp.145-162, 2015.

H. Fu, W. Yin, G. Yang, X. Chen, C. He et al., 18.9-Pflops Nonlinear Earthquake Simulation on Sunway TaihuLight: Enabling Depiction of 18-Hz and 8-Meter Scenarios, Int. Conf. for High Performance Computing, Networking, Storage and Analysis, pp.1-12, 2017.
URL : https://hal.archives-ouvertes.fr/in2p3-00261039

J. Ross and D. Richie, Implementing OpenSHMEM for the Adapteva Epiphany RISC Array Processor, Procedia Computer Science, vol.80, issue.C, pp.2353-2356, 2016.

B. D. De-dinechin, P. G. De-massas, G. Lager, C. Léger, B. Orgogozo et al., A Distributed Run-Time Environment for the Kalray MPPA-256 Integrated Manycore Processor, Int. Conf. on Computational Science, vol.18, pp.1654-1663, 2013.

S. Boyd-wickizer, H. Chen, R. Chen, Y. Mao, F. Kaashoek et al., Corey: An Operating System for Many Cores, USENIX Conf. on Operating Systems Design and Implementation, pp.43-57, 2008.

B. Rhoden, K. Klues, D. Zhu, and E. Brewer, Improving Per-Node Efficiency in the Datacenter with New OS Abstractions, ACM Symp. on Cloud Computing, pp.1-8, 2011.

R. Wisniewski, T. Inglett, P. Keppel, R. Murty, and R. Riesen, mOS: An Architecture for Extreme-Scale Operating Systems, Int. Workshop on Runtime and Operating Systems for Supercomputers, pp.1-8, 2014.

D. Wentzlaff and A. Agarwal, Factored Operating Systems (FOS): The Case for a Scalable Operating System for Multicores, ACM SIGOPS Operating Systems Review, vol.43, issue.2, pp.76-85, 2009.

A. Baumann, P. Barham, P. Dagand, T. Harris, R. Isaacs et al., The Multikernel: A New OS Architecture for Scalable Multicore Systems, ACM Symp. on Operating Systems Principles, pp.29-44, 2009.

F. Kluge, M. Gerdes, and T. Ungerer, An Operating System for Safety-Critical Applications on Manycore Processors, Int. Symp. on Object/Component/Service-Oriented Real-Time Distributed Computing, pp.238-245, 2014.

E. Nightingale, O. Hodson, R. Mcilroy, C. Hawblitzel, and G. Hunt, Helios: Heterogeneous Multiprocessing with Satellite Kernels, ACM Symp. on Operating Systems Principles, pp.221-234, 2009.

A. Barbalace, M. Sadini, S. Ansary, C. Jelesnianski, A. Ravichandran et al., Popcorn: Bridging the Programmability Gap in Heterogeneous-ISA Platforms, European Conf. on Computer Systems, pp.1-16, 2015.

P. H. Penna, M. Souza, E. Podestá, J. Souto, M. Castro et al., Rmem: An os service for transparent remote memory access in lightweight manycores, Int. Workshop on Programmability and Architectures for Heterogeneous Multicores, pp.1-16, 2019.
URL : https://hal.archives-ouvertes.fr/hal-01986366

P. H. Penna, D. Francis, and J. Souto, The hardware abstraction layer of nanvix for the kalray mppa-256 lightweight manycore processor, Architecture et Système, pp.1-11, 2019.
URL : https://hal.archives-ouvertes.fr/hal-02151274

M. Haghbayan, A. Miele, A. M. Rahmani, P. Liljeberg, and H. Tenhunen, Performance/Reliability-Aware Resource Management for Many-Cores in Dark Silicon Era, IEEE Transactions on Computers, vol.66, issue.9, pp.1599-1612, 2017.

J. Hascoët, B. D. De-dinechin, P. G. De-massas, and M. Q. Ho, Asynchronous One-Sided Communications and Synchronizations for a Clustered Manycore Processor, Symp. on Embedded Systems for Real-Time Multimedia, pp.51-60, 2017.

B. D. De-dinechin, Y. Durand, D. Van-amstel, and A. Ghiti, Guaranteed Services of the NoC of a Manycore Processor, Int. Workshop on Network on Chip Architectures, pp.11-16, 2014.
URL : https://hal.archives-ouvertes.fr/hal-01102657

S. Wallentowitz, P. Wagner, M. Tempelmeier, T. Wild, and A. Herkersdorf, Open Tiled Manycore System-on-Chip, 2013.

J. Colmenares, S. Bird, H. Cook, P. Pearce, D. Zhu et al., Resource Management in the Tessellation Manycore OS, USENIX Conference on Hot Topics in Parallelism, 2010.