N. Binkert, The gem5 simulator, SIGARCH Comput. Archit. News, vol.39, pp.1-7, 2011.

X. Dong, C. Xu, Y. Xie, and N. P. Jouppi, NVSim: A Circuit-Level Performance, Energy, and Area Model for Emerging Nonvolatile Memory, IEEE Trans. on Computer-Aided Design of Integrated Circuits and Systems, pp.994-1007, 2012.

C. Bienia, S. Kumar, J. P. Singh, and K. Li, The PARSEC benchmark suite: Characterization and architectural implications, International Conference on Parallel Architectures and Compilation Techniques (PACT), pp.72-81, 2008.

D. Apalkov, Spin-transfer torque magnetic random access memory, J. Emerg. Technol. Comput. Syst, vol.9, issue.13, 2013.

M. K. Qureshi, S. Gurumurthi, and B. Rajendran, Phase Change Memory: From Devices to Systems, 2011.

Y. B. Kim, Bi-layered RRAM with unlimited endurance and extremely uniform switching, Symposium on VLSI Technology -Digest of Technical Papers, pp.52-53, 2011.

S. Mittal, J. S. Vetter, and D. Li, A Survey Of Architectural Approaches for Managing Embedded DRAM and Non-Volatile On-Chip Caches, IEEE Trans. on Parallel and Distributed Systems, vol.26, issue.6, pp.1524-1537, 2015.
URL : https://hal.archives-ouvertes.fr/hal-01102387

J. Wang, X. Dong, Y. Xie, and N. P. Jouppi, i2WAP: Improving non-volatile cache lifetime by reducing inter-and intra-set write variations, IEEE 19th International Symposium on High Performance Computer Architecture (HPCA), pp.234-245, 2013.

M. R. Jokar, M. Arjomand, and H. Sarbazi-azad, Sequoia: A High-Endurance NVM-Based Cache Architecture, IEEE Trans. on Very Large Scale Integration (VLSI) Systems, vol.24, issue.3, pp.954-967, 2016.

M. K. Qureshi, D. Thompson, and Y. N. Patt, The V-Way cache: demand-based associativity via global replacement, 32nd International Symposium on Computer Architecture (ISCA'05), pp.544-555, 2005.

D. Sanchez and C. Kozyrakis, 43rd Annual IEEE/ACM International Symposium on Microarchitecture, pp.187-198, 2010.

S. Das and H. K. Kapoor, Dynamic Associativity Management in Tiled CMPs by Runtime Adaptation of Fellow Sets, IEEE Trans. on Parallel and Distributed Systems, vol.28, issue.8, pp.2229-2243, 2017.

Y. Chen, On-chip caches built on multilevel spin-transfer torque RAM cells and its optimizations, J. Emerg. Technol. Comput. Syst, vol.9, 2013.

S. Wang, G. Duan, Y. Li, and Q. Dong, Word-and Partition-Level Write Variation Reduction for Improving Non-Volatile Cache Lifetime, ACM Trans. Des. Autom. Electron. Syst, vol.23, p.1, 2017.

M. Soltani, M. Ebrahimi, and Z. Navabi, Prolonging lifetime of non-volatile last level caches with cluster mapping, International Great Lakes Symposium on VLSI (GLSVLSI), pp.329-334, 2016.

S. Mittal and J. S. Vetter, Addressing Inter-set Write-Variation for Improving Lifetime of Non-Volatile Caches, 5th Annual Non-Volatile Memories Workshop University of California, 2014.

C. H. Kim, J. J. Kim, S. Mukhopadhyay, and K. Roy, A forward body-biased-lowleakage SRAM cache: device and architecture considerations, Proceedings of the International Symposium on Low Power Electronics and Design, pp.6-9, 2003.

S. Agarwal and H. K. Kapoor, Targeting inter set write variation to improve the lifetime of non-volatile cache using fellow sets, IFIP/IEEE International Conference on Very Large Scale Integration (VLSI-SoC), pp.1-6, 2017.

X. Wu, J. Li, L. Zhang, E. Speight, and Y. Xie, Power and performance of readwrite aware Hybrid Caches with non-volatile memories, Design, Automation & Test in Europe Conference & Exhibition, pp.737-742, 2009.

S. Agarwal and H. K. Kapoor, Restricting writes for energy-efficient hybrid cache in multi-core architectures, IFIP/IEEE International Conference on Very Large Scale Integration (VLSI-SoC), pp.1-6, 2016.

S. P. Park, S. Gupta, N. Mojumder, A. Raghunathan, and K. Roy, Future cache design using STT MRAMs for improved energy efficiency: Devices, circuits and architecture, DAC Design Automation Conference, pp.492-497, 2012.

A. K. Mishra, X. Dong, G. Sun, Y. Xie, N. Vijaykrishnan et al., Architecting on-chip interconnects for stacked 3D STT-RAM caches in CMPs, International Symposium on Computer Architecture (ISCA), pp.69-80, 2011.

Y. Huai, Spin-transfer torque MRAM (STT-MRAM): Challenges and prospects, AAPPS Bulletin, vol.18, issue.6, pp.33-40, 2008.