D. Ernst and N. Kim, Razor: A low-power pipeline based on circuit-level timing speculation, Proceedings. 36th Annual IEEE/ACM International Symposium on, pp.7-18, 2003.

R. G. Rizzo, V. Peluso, A. Calimera, J. Zhou, and X. Liu, Early bird sampling: a short-paths free error detection-correction strategy for data-driven VOS, International Conference on Very Large Scale Integration, pp.2017-2042, 2017.

L. Benini, G. Castelli, A. Macii, B. Macii, and R. Scarai, Battery-driven dynamic power management of portable systems, Proceedings 13th International Symposium on System Synthesis, pp.25-30, 2000.

M. Alioto, Ultra low power design approaches for iot, 2014.

D. Bortolotti, D. Rossi, A. Bartolini, and L. Benini, A variation tolerant architecture for ultra low power multi-processor cluster, Power and Timing Modeling, pp.32-38, 2013.

R. G. Rizzo, V. Peluso, A. Calimera, and J. Zhou,

V. Peluso, R. G. Rizzo, A. Calimera, E. Macii, and M. Alioto, Beyond ideal dvfs through ultra-fine grain vdd-hopping, IFIP/IEEE International Conference on Very Large Scale Integration, pp.152-172, 2016.
URL : https://hal.archives-ouvertes.fr/hal-01675202

L. Benini, G. De-micheli, E. Macii, M. Poncino, and R. Scarsi, Symbolic synthesis of clock-gating logic for power optimization of control-oriented synchronous networks, Proceedings of the 1997 European Conference on Design and Test, ser. EDTC '97, p.514, 1997.

P. Babighian, L. Benini, A. Macii, and E. Macii, Post-layout leakage power minimization based on distributed sleep transistor insertion, Proceedings of the 2004 International Symposium on Low Power Electronics and Design, ser. ISLPED '04, pp.138-143, 2004.

A. Calimera, R. I. Bahar, E. Macii, and M. Poncino, Temperature-insensitive dualvth synthesis for nanometer cmos technologies under inverse temperature dependence, IEEE transactions on very large scale integration (VLSI) systems, vol.18, pp.1608-1620, 2010.

A. Calimera, A. Pullini, A. V. Sathanur, L. Benini, A. Macii et al., Design of a family of sleep transistor cells for a clustered power-gating flow in 65nm technology, Proceedings of the 17th ACM Great Lakes symposium on VLSI, pp.501-504, 2007.

P. K. Krause, Adaptive voltage over-scaling for resilient applications, 2011 Design, Automation Test in Europe, pp.1-6, 2011.

S. Das, RazorII: In situ error detection and correction for PVT and SER tolerance, IEEE Journal of Solid-State Circuits, vol.44, issue.1, pp.32-48, 2009.

S. Kim, Variation-tolerant, ultra-low-voltage microprocessor with a lowoverhead, within-a-cycle in-situ timing-error detection and correction technique, IEEE Journal of Solid-State Circuits, vol.50, issue.6, pp.1478-1490, 2015.

S. Valadimas, Timing error tolerance in nanometer ICs, On-Line Testing Symposium (IOLTS), pp.283-288, 2010.

K. A. Bowman, Energy-efficient and metastability-immune resilient circuits for dynamic variation tolerance, IEEE Journal of Solid-State Circuits, vol.44, issue.1, pp.49-63, 2009.

K. Bowman, A 45 nm resilient microprocessor core for dynamic variation tolerance, IEEE Journal of Solid-State Circuits, vol.46, issue.1, pp.194-208, 2011.

I. Kwon, Razor-lite: a light-weight register for error detection by observing virtual supply rails, IEEE Journal of Solid-State Circuits, vol.49, issue.9, pp.2054-2066, 2014.

S. Das, A 1 GHz hardware loop-accelerator with razor-based dynamic adaptation for energy-efficient operation, IEEE Transactions on Circuits and Systems I: Regular Papers, vol.61, issue.8, pp.2290-2298, 2014.

Y. Yang, Pushpull: Short-path padding for timing error resilient circuits, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol.33, issue.4, pp.558-570, 2014.

S. Ghosh, S. Bhunia, and K. Roy, Crista: A new paradigm for low-power, variation-tolerant, and adaptive circuit synthesis using critical path isolation, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol.26, issue.11, pp.1947-1956, 2007.

A. B. Kahng, Slack redistribution for graceful degradation under voltage overscaling, Proceedings of the 2010 Asia and South Pacific Design Automation Conference, pp.825-831, 2010.

G. Karakonstantis and K. Roy, Voltage over-scaling: A cross-layer design perspective for energy efficient systems, Circuit Theory and Design (ECCTD), 2011 20th European Conference on, pp.548-551, 2011.

D. Ernst, S. Das, S. Lee, D. Blaauw, T. Austin et al., Razor: circuit-level correction of timing errors for low-power operation, IEEE Micro, vol.24, issue.6, pp.10-20, 2004.

S. G. Ramasubramanian, S. Venkataramani, A. Parandhaman, and A. Raghunathan, Relax-and-retime: A methodology for energy-efficient recovery based design, Proceedings of the 50th Annual Design Automation Conference, p.111, 2013.

L. Wan and D. Chen, Dynatune: circuit-level optimization for timing speculation considering dynamic path behavior, Proceedings of the 2009 International Conference on Computer-Aided Design, pp.172-179, 2009.

B. Greskamp, L. Wan, U. R. Karpuzcu, J. J. Cook, J. Torrellas et al., Blueshift: Designing processors for timing speculation from the ground up, High Performance Computer Architecture, pp.213-224, 2009.

L. Wan and D. Chen, Ccp: Common case promotion for improved timing error resilience with energy efficiency, Proceedings of the 2012 ACM/IEEE international symposium on Low power electronics and design, pp.135-140, 2012.

D. Mohapatra, G. Karakonstantis, and K. Roy, Low-power process-variation tolerant arithmetic units using input-based elastic clocking, Proceedings of the 2007 international symposium on Low power electronics and design, pp.74-79, 2007.

J. Carmona, J. Cortadella, M. Kishinevsky, and A. Taubin, Elastic circuits, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol.28, issue.10, pp.1437-1455, 2009.

B. Shim, S. R. Sridhara, and N. R. Shanbhag, Reliable low-power digital signal processing via reduced precision redundancy, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol.12, issue.5, pp.497-510, 2004.

D. J. Pagliari, A. Calimera, E. Macii, and M. Poncino, An automated design flow for approximate circuits based on reduced precision redundancy, 33rd IEEE International Conference on, pp.86-93, 2015.

J. Zhou, Hepp: A new in-situ timing-error prediction and prevention technique for variation-tolerant ultra-low-voltage designs, Solid-State Circuits Conference (A-SSCC), pp.129-132, 2013.

A. Chakraborty, Dynamic thermal clock skew compensation using tunable delay buffers, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol.16, pp.639-649, 2008.