, Top500.org. top 500 supercomputer sites, 2017.

R. Ayoub, S. Sharifi, and T. S. Rosing, Gentlecool: Cooling aware proactive workload scheduling in multi-machine systems, Proceedings of the Conference on Design, Automation and Test in Europe, pp.295-298, 2010.

A. Bartolini, M. Cacciari, C. Cavazzoni, G. Tecchiolli, and L. Benini, Unveiling eurora -thermal and power characterization of the most energy-efficient supercomputer in the world, Proceedings of the Conference on Design, Automation & Test in Europe, DATE '14, vol.277, pp.1-277, 2014.

A. Bartolini, M. Cacciari, A. Tilli, and L. Benini, A distributed and selfcalibrating model-predictive controller for energy and thermal management of high-performance multicores, Design, Automation Test in Europe Conference Exhibition (DATE), pp.1-6, 2011.

F. Beneventi, A. Bartolini, C. Cavazzoni, and L. Benini, Cooling-aware node-level task allocation for next-generation green hpc systems, management, vol.1, issue.6, 2016.

F. Beneventi, A. Bartolini, A. Tilli, and L. Benini, An effective gray-box identification procedure for multicore thermal modeling, IEEE Transactions on Computers, vol.63, issue.5, pp.1097-1110, 2014.

D. Cesarini, A. Bartolini, and L. Benini, Benefits in relaxing the power capping constraint, Proceedings of the 1st Workshop on AutotuniNg and aDaptivity AppRoaches for Energy Efficient HPC Systems, ANDARE '17, vol.3, pp.1-3, 2017.

D. Cesarini, A. Bartolini, and L. Benini, Prediction horizon vs. efficiency of optimal dynamic thermal control policies in hpc nodes, 2017 IFIP/IEEE International Conference on Very Large Scale Integration (VLSI-SoC), pp.1-6, 2017.

C. Conficoni, A. Bartolini, A. Tilli, G. Tecchiolli, and L. Benini, Energy-aware cooling for hot-water cooled supercomputers, Proceedings of the 2015 Design, Automation & Test in Europe Conference & Exhibition, DATE '15, pp.1353-1358, 2015.

A. K. Coskun, T. S. Rosing, and K. C. Gross, Utilizing predictors for efficient thermal management in multiprocessor socs, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol.28, issue.10, pp.1503-1516, 2009.

A. K. Coskun, T. S. Rosing, and K. Whisnant, Temperature aware task scheduling in mpsocs, Proceedings of the conference on Design, automation and test in Europe, pp.1659-1664, 2007.

A. K. Co?kun, K. Whisnant, and K. C. Gross, Static and dynamic temperatureaware scheduling for multiprocessor SoCs. Very Large Scale Integration (VLSI) Systems, IEEE Transactions on, vol.16, issue.9, pp.1127-1140, 2008.

J. Eastep, S. Sylvester, C. Cantalupo, F. Ardanaz, B. Geltz et al., Global extensible open power manager: A vehicle for hpc community collaboration toward co-designed energy management solutions

V. W. Freeh, N. Kappiah, D. K. Lowenthal, and T. K. Bletsch, Just-in-time dynamic voltage scaling: Exploiting inter-node slack to save energy in mpi programs, Journal of Parallel and Distributed Computing, vol.68, issue.9, pp.1175-1185, 2008.

R. Ge, X. Feng, W. Feng, and K. W. Cameron, Cpu miser: A performancedirected, run-time system for power-aware clusters, International Conference on Parallel Processing, pp.18-18, 2007.

P. Giannozzi, S. Baroni, N. Bonini, M. Calandra, R. Car et al., Quantum espresso: a modular and open-source software project for quantum simulations of materials, Journal of physics: Condensed matter, vol.21, issue.39, p.395502, 2009.
URL : https://hal.archives-ouvertes.fr/hal-00717147

P. Hammarlund, R. Kumar, R. B. Osborne, R. Rajwar, R. Singhal et al., Haswell: The fourthgeneration Intel core processor, IEEE Micro, issue.2, pp.6-20, 2014.

V. Hanumaiah, S. Vrudhula, and K. S. Chatha, Performance optimal speed control of multi-core processors under thermal constraints, Design, Automation Test in Europe Conference Exhibition, 2009. DATE '09, pp.1548-1551, 2009.

K. A. Huck and J. Labarta, Detailed load balance analysis of large scale parallel applications, 39th International Conference on, pp.535-544, 2010.

H. Khdr, S. Pagani, M. Shafique, and J. Henkel, Thermal constrained resource management for mixed ilp-tlp workloads in dark silicon chips, Proceedings of the 52nd Annual Design Automation Conference, p.179, 2015.

H. Khdr, S. Pagani, E. Sousa, V. Lari, A. Pathania et al., Power density-aware resource management for heterogeneous tiled multicores, IEEE Transactions on Computers, vol.66, issue.3, pp.488-501, 2017.

M. Y. Lim, V. W. Freeh, and D. K. , Adaptive, transparent frequency and voltage scaling of communication phases in mpi programs, SC 2006 conference, proceedings of the ACM/IEEE, pp.14-14, 2006.

M. Maiterth, T. Wilde, D. Lowenthal, B. Rountree, M. Schulz et al., Power aware high performance computing: Challenges and opportunities for application and system developers x2014; survey tutorial, 2017 International Conference on High Performance Computing Simulation (HPCS), pp.3-10, 2017.

S. Murali, A. Mutapcic, D. Atienza, R. Gupta, S. Boyd et al., Temperature-aware processor frequency assignment for mpsocs using convex optimization, Hardware/Software Codesign and System Synthesis (CODES+ISSS), pp.111-116, 2007.

O. Pearce, T. Gamblin, B. R. De-supinski, M. Schulz, and N. M. Amato, Quantifying the effectiveness of load balance algorithms, Proceedings of the 26th ACM International Conference on Supercomputing, ICS '12, pp.185-194, 2012.

D. Puschini, F. Clermidy, P. Benoit, G. Sassatelli, and L. Torres, Temperatureaware distributed run-time optimization on mp-soc using game theory, Symposium on VLSI, 2008. ISVLSI'08, pp.375-380, 2008.
URL : https://hal.archives-ouvertes.fr/lirmm-00280678

B. Rountree, D. K. Lownenthal, B. R. De-supinski, M. Schulz, V. W. Freeh et al., Adagio: making dvs practical for complex hpc applications, Proceedings of the 23rd international conference on Supercomputing, pp.460-469, 2009.

A. Rudi, A. Bartolini, A. Lodi, and L. Benini, Optimum: Thermal-aware task allocation for heterogeneous many-core devices, High Performance Computing Simulation (HPCS), 2014 International Conference on, pp.82-87, 2014.

Z. Wang, C. Bash, N. Tolia, M. Marwah, X. Zhu et al., Optimal fan speed control for thermal management of servers, ASME 2009 InterPACK Conference collocated with the ASME 2009 Summer Heat Transfer Conference and the ASME 2009 3rd International Conference on Energy Sustainability, pp.709-719, 2009.

Q. Xie, M. J. Dousti, and M. Pedram, Therminator: A thermal simulator for smartphones producing accurate chip and skin temperature maps, Low Power Electronics and Design (ISLPED), pp.117-122, 2014.

Y. Xie and W. Hung, Temperature-aware task allocation and scheduling for embedded multiprocessor systems-on-chip (mpsoc) design, The Journal of VLSI Signal Processing, vol.45, issue.3, pp.177-189, 2006.

F. Zanini, D. Atienza, L. Benini, and G. D. Micheli, Thermal-aware system-level modeling and management for multi-processor systems-on-chip, IEEE International Symposium on, pp.2481-2484, 2011.