Advanced power management helps bring improved performance to highly integrated x86 processors. White paper, 2014. ,
Understanding power management and processor performance determinism. White paper, 2018. ,
Conduction heat transfer, 1966. ,
Analytical model of temperature in microprocessors ,
Harmonic function theory, 1992. ,
Thermal resistance analysis by induced transient (TRAIT) method for power electronic devices thermal characterization -Part I: fundamentals and theory, IEEE Transactions on Power Electronics, vol.13, issue.6, 1998. ,
Thermal resistance analysis by induced transient (TRAIT) method for power electronic devices thermal characterization -Part II: practice and experiments, IEEE Transactions on Power Electronics, vol.13, issue.6, pp.Novem- ber, 1998. ,
Dynamic electro-thermal simulation of microsystems -a review, Journal of Micromechanics and Microengineering, vol.15, issue.11, 2005. ,
An effective gray-box identification procedure for multicore thermal modeling, IEEE Transactions on Computers, vol.63, issue.5, 2014. ,
Evolution of thread-level parallelism in desktop applications, International Symposium on Computer Architecture (ISCA), 2010. ,
The Xeon processor E5-2600 v3: a 22nm 18-core product family, IEEE Journal of Solid-State Circuits, vol.51, issue.1, 2016. ,
Dynamic thermal management for high-performance microprocessors, International Symposium on High-Performance Computer Architecture (HPCA), 2001. ,
Zeppelin: an SoC for multichip architectures, IEEE Journal of Solid-State Circuits, vol.54, issue.1, 2019. ,
Transient energy management strategies for portable systems, Electronic Components and Technology Conference (ECTC), 1995. ,
Conduction of heat in solids, 1959. ,
Linear System Theory and Design, 1999. ,
Canonical forms of one-port passive distributed thermal networks, IEEE Transactions on Components and Packaging Technologies, vol.28, issue.1, 2005. ,
Compact models of dynamic thermal networks with many heat sources, IEEE Transactions on Components and Packaging Technologies, vol.30, issue.4, 2007. ,
A rigorous approach to electro-thermal network modeling, European Conference on Circuit Theory and Design (ECCTD), 2001. ,
An Arnoldi based thermal network reduction method for electro-thermal analysis, IEEE Transactions on Components and Packaging Technologies, vol.26, issue.1, 2003. ,
Compact modeling of electrical devices for electrothermal analysis, IEEE Transactions on Circuits and Systems I, vol.50, issue.4, 2003. ,
On estimating optimal performance of a CPU dynamic thermal management, IEEE Computer Architecture Letters, vol.2, issue.1, 2003. ,
Amdahl's law for tail latency, Communications of the ACM, vol.61, issue.8, 2018. ,
Inside 6th-generation Intel Core: new microarchitecture code-named Skylake, IEEE Micro, vol.37, issue.2, 2017. ,
Pitfalls of accurately benchmarking thermally adaptive chips, Workshop on Duplicating, Deconstructing and Debunking (WDDD), 2014. ,
Calculation of the temperature development in electronic systems by convolution integrals, Semiconductor Thermal Measurement and Management Symposium (SEMI-THERM), 2000. ,
Time dependent temperature fields calculated using eigenfunctions and eigenvalues of the heat conduction equation, Microelectronics Journal, vol.32, issue.10, 2001. ,
A methodology for modeling dynamic and static power consumption for multicore processors, International Parallel and Distributed Processing Symposium (IPDPS), 2016. ,
, Volterra integral and functional equations, 1990.
STEAM: a smart temperature and energy aware multicore controller, ACM Transactions on Embedded Computing Systems, vol.13, issue.5, 2014. ,
Matrix analysis, 2013. ,
HotSpot: a compact thermal modeling methodology for early-stage VLSI design, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol.14, issue.5, 2006. ,
Accurate fine-grained processor power proxies, International Symposium on Microarchitecture (MICRO), 2012. ,
Runtime power monitoring in high-end processors: methodology and empirical data, International Symposium on Microarchitecture (MICRO), 2003. ,
Exponential analysis in physical phenomena, Review of Scientific Instruments, vol.70, issue.2, 1999. ,
Positive definite matrices, The American Mathematical Monthly, vol.77, issue.3, 1970. ,
Rubik: fast analytical power management for latency-critical systems, International Symposium on Microarchitecture (MICRO), 2015. ,
Exponential spectra as a tool for the study of server-systems with several classes of customers, Journal of Applied Probability, vol.15, issue.1, 1978. ,
A non-monotonic method for large-scale non-negative least squares, Optimization Methods and Software, vol.28, issue.5, 2013. ,
Monte Carlo transient phonons transport in silicon and germanium at nanoscales, Physical Review B, vol.72, issue.6, 2005. ,
URL : https://hal.archives-ouvertes.fr/hal-00004663
Solving least squares problems, Society for Industrial and Applied Mathematics, 1995. ,
Electrothermal simulation of integrated circuits, IEEE Journal of Solid-State Circuits, vol.28, issue.12, 1993. ,
Efficient transient electrothermal simulation of CMOS VLSI circuits under electrical overstress, International Conference on Computer-Aided Design (ICCAD), 1998. ,
Temperature and supply voltage aware performance and power modeling at microarchitecture level, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol.24, issue.7, 2005. ,
Analytical and numerical methods for Volterra equations, Society for Industrial and Applied Mathematics, 1985. ,
Dynamic management of TurboMode in modern multi-core chips, International Symposium on High Performance Computer Architecture (HPCA), 2014. ,
PowerNap: eliminating server idle power, International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS), 2009. ,
Power management of online data-intensive services, International Symposium on Computer Architecture (ISCA), 2011. ,
Completely monotone functions: a digest, Analytic Number Theory, Approximation Theory, and Special Functions, 2014. ,
Matrix analysis and applied linear algebra, Society for Industrial and Applied Mathematics, 2000. ,
ATMI: analytical model of temperature in microprocessors, Workshop on Modeling, Benchmarking and Simulation, 2007. ,
An analytical model of temperature in microprocessors, 2005. ,
URL : https://hal.archives-ouvertes.fr/inria-00070275
, Super fast large-scale nonnegative least squares
Signals and Systems, 1997. ,
Deterministic power-estimation for thermal control. US patent 7096145, 2002. ,
Boundary value problems of heat conduction, 1968. ,
Dynamic compact thermal models with multiple power sources: application to an ultrathin chip stacking technology, IEEE Transactions on Advanced Packaging, vol.28, issue.4, 2005. ,
Accurate modeling of the delay and energy overhead of dynamic voltage and frequency scaling in modern microprocessors, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol.32, issue.5, 2013. ,
An optimal analytical solution for processor speed control with thermal constraints, International Symposium on Low Power Electronics and Design (ISLPED), 2006. ,
A fast algorithm for the layout based electro-thermal simulation, Design, Automation and Test in Europe Conference (DATE), 2003. ,
Dynamically managing processor temperature and power, Workshop on Feedback-Directed Optimization, 1999. ,
URL : https://hal.archives-ouvertes.fr/hal-01798788
Intel architecture, codename Skylake deep dive: a new architecture to manage power performance and energy efficiency, 2015. ,
Power and thermal constraints of modern system-on-a-chip computer, Microelectronics Journal, vol.46, issue.12, 2015. ,
Power management of the 2nd generation Intel Core microarchitecture, formerly code-named Sandy Bridge, Hot Chips, 2011. ,
Powermanagement architecture of the Intel microarchitecture code-named Sandy Bridge, IEEE Micro, vol.32, issue.2, 2012. ,
Deterministic management of dynamic thermal response of processors. US patent 8707060, 2008. ,
Dynamic compact thermal models used for electronic design: a review of recent progress, ASME International Electronic Packaging Technical Conference and Exhibition, 2003. ,
Interface material selection and a thermal management technique in secondgeneration platforms, Intel Centrino Mobile Technology. Intel Technology Journal, vol.9, issue.1, 2005. ,
Thermal management system for high performance PowerPC microprocessors, IEEE COMPCON, 1997. ,
Bernstein functions, 2010. ,
Non-negative matrices and Markov chains, 1981. ,
PROMETHEUS: a proactive method for thermal management of heterogeneous MPSoCs, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol.32, issue.7, 2013. ,
Control-theoretic techniques and thermal-RC modeling for accurate and localized dynamic thermal management, International Symposium on High-Performance Computer Architecture (HPCA), 2002. ,
Identification of RC networks by deconvolution: chances and limits, IEEE Transactions on Circuits and Systems I, vol.45, issue.3, 1998. ,
Thermal dynamics and the time constant domain, IEEE Transactions on Components and Packaging Technologies, vol.23, issue.3, 2000. ,
Fine structure of heat flow path in semiconductor devices: a measurement and identification method, Solid-State Electronics, vol.31, issue.9, 1988. ,
High-efficiency transient temperature calculations for applications in dynamic thermal management of electronic devices, ASME Journal of Electronic Packaging, vol.135, issue.3, 2013. ,
Fast temperature calculation for transient electrothermal simulation by mixed frequency/time domain thermal model reduction, Design Automation Conference (DAC), 2000. ,
Fairness metrics for multi-threaded processors, IEEE Computer Architecture Letters, vol.10, issue.1, 2011. ,
Thermal runaway in integrated circuits, IEEE Transactions on Device and Materials Reliability, vol.6, issue.2, 2006. ,
Temperature-constrained power control for chip multiprocessors with online model estimation, International Symposium on Computer Architecture (ISCA), 2009. ,
CMOS VLSI design: a circuits and systems perspective, 2010. ,
Classical circuit theory, 2009. ,
RR n°9308 RESEARCH CENTRE RENNES -BRETAGNE ATLANTIQUE Campus universitaire de Beaulieu 35042 Rennes Cedex Publisher Inria Domaine de Voluceau -Rocquencourt BP 105 -78153 Le Chesnay Cedex inria, pp.249-6399, 2012. ,