. Amd, Advanced power management helps bring improved performance to highly integrated x86 processors. White paper, 2014.

. Amd, Understanding power management and processor performance determinism. White paper, 2018.

V. S. Arpac?, Conduction heat transfer, 1966.

. Atmi, Analytical model of temperature in microprocessors

S. Axler, P. Bourdon, and W. Ramey, Harmonic function theory, 1992.

P. E. Bagnoli, C. Casarosa, M. Ciampi, and E. Dallago, Thermal resistance analysis by induced transient (TRAIT) method for power electronic devices thermal characterization -Part I: fundamentals and theory, IEEE Transactions on Power Electronics, vol.13, issue.6, 1998.

P. E. Bagnoli, C. Casarosa, M. Ciampi, and E. Dallago, Thermal resistance analysis by induced transient (TRAIT) method for power electronic devices thermal characterization -Part II: practice and experiments, IEEE Transactions on Power Electronics, vol.13, issue.6, pp.Novem- ber, 1998.

T. Bechtold, E. B. Rudnyi, and J. G. Korvink, Dynamic electro-thermal simulation of microsystems -a review, Journal of Micromechanics and Microengineering, vol.15, issue.11, 2005.

F. Beneventi, A. Bartolini, A. Tilli, and L. Benini, An effective gray-box identification procedure for multicore thermal modeling, IEEE Transactions on Computers, vol.63, issue.5, 2014.

G. Blake, R. G. Dreslinski, T. Mudge, and K. Flautner, Evolution of thread-level parallelism in desktop applications, International Symposium on Computer Architecture (ISCA), 2010.

B. Bowhill, B. Stackhouse, N. Nassif, Z. Yang, A. Raghavan et al., The Xeon processor E5-2600 v3: a 22nm 18-core product family, IEEE Journal of Solid-State Circuits, vol.51, issue.1, 2016.

D. Brooks and M. Martonosi, Dynamic thermal management for high-performance microprocessors, International Symposium on High-Performance Computer Architecture (HPCA), 2001.

T. Burd, N. Beck, S. White, M. Paraschou, N. Kalyanasundharam et al., Zeppelin: an SoC for multichip architectures, IEEE Journal of Solid-State Circuits, vol.54, issue.1, 2019.

L. Cao, J. P. Krusius, M. Korhonen, and T. Fisher, Transient energy management strategies for portable systems, Electronic Components and Technology Conference (ECTC), 1995.

H. S. Carslaw and J. Jaeger, Conduction of heat in solids, 1959.

C. Chen, Linear System Theory and Design, 1999.

L. Codecasa, Canonical forms of one-port passive distributed thermal networks, IEEE Transactions on Components and Packaging Technologies, vol.28, issue.1, 2005.

L. Codecasa, Compact models of dynamic thermal networks with many heat sources, IEEE Transactions on Components and Packaging Technologies, vol.30, issue.4, 2007.

L. Codecasa, D. D'amore, and P. Maffezzoni, A rigorous approach to electro-thermal network modeling, European Conference on Circuit Theory and Design (ECCTD), 2001.

L. Codecasa, D. D'amore, and P. Maffezzoni, An Arnoldi based thermal network reduction method for electro-thermal analysis, IEEE Transactions on Components and Packaging Technologies, vol.26, issue.1, 2003.

L. Codecasa, D. D'amore, and P. Maffezzoni, Compact modeling of electrical devices for electrothermal analysis, IEEE Transactions on Circuits and Systems I, vol.50, issue.4, 2003.

A. Cohen, L. Finkelstein, A. Mendelson, R. Ronen, and D. Rudoy, On estimating optimal performance of a CPU dynamic thermal management, IEEE Computer Architecture Letters, vol.2, issue.1, 2003.

C. Delimitrou and C. Kozyrakis, Amdahl's law for tail latency, Communications of the ACM, vol.61, issue.8, 2018.

J. Doweck, W. Kao, A. K. Lu, J. Mandelblat, A. Rahatekar et al., Inside 6th-generation Intel Core: new microarchitecture code-named Skylake, IEEE Micro, vol.37, issue.2, 2017.

L. Emurian, A. Raghavan, L. Shao, J. M. Rosen, M. Papaefthymiou et al., Pitfalls of accurately benchmarking thermally adaptive chips, Workshop on Duplicating, Deconstructing and Debunking (WDDD), 2014.

Y. C. Gerstenmaier and G. Wachutka, Calculation of the temperature development in electronic systems by convolution integrals, Semiconductor Thermal Measurement and Management Symposium (SEMI-THERM), 2000.

Y. C. Gerstenmaier and G. Wachutka, Time dependent temperature fields calculated using eigenfunctions and eigenvalues of the heat conduction equation, Microelectronics Journal, vol.32, issue.10, 2001.

B. Goel and S. A. Mckee, A methodology for modeling dynamic and static power consumption for multicore processors, International Parallel and Distributed Processing Symposium (IPDPS), 2016.

G. Gripenberg, S. Londen, and O. Staffans, Volterra integral and functional equations, 1990.

V. Hanumaiah, D. Desai, B. Gaudette, C. Wu, and S. Vrudhula, STEAM: a smart temperature and energy aware multicore controller, ACM Transactions on Embedded Computing Systems, vol.13, issue.5, 2014.

R. A. Horn and C. R. Johnson, Matrix analysis, 2013.

W. Huang, S. Ghosh, S. Velusamy, K. Sankaranarayanan, K. Skadron et al., HotSpot: a compact thermal modeling methodology for early-stage VLSI design, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol.14, issue.5, 2006.

W. Huang, C. Lefurgy, W. Kuk, A. Buyuktosunoglu, M. Floyd et al., Accurate fine-grained processor power proxies, International Symposium on Microarchitecture (MICRO), 2012.

C. Isci and M. Martonosi, Runtime power monitoring in high-end processors: methodology and empirical data, International Symposium on Microarchitecture (MICRO), 2003.

A. A. Istratov and O. F. Vyvenko, Exponential analysis in physical phenomena, Review of Scientific Instruments, vol.70, issue.2, 1999.

C. R. Johnson, Positive definite matrices, The American Mathematical Monthly, vol.77, issue.3, 1970.

H. Kasture, D. B. Bartolini, N. Beckmann, and D. Sanchez, Rubik: fast analytical power management for latency-critical systems, International Symposium on Microarchitecture (MICRO), 2015.

J. Keilson, Exponential spectra as a tool for the study of server-systems with several classes of customers, Journal of Applied Probability, vol.15, issue.1, 1978.

D. Kim, S. Sra, and I. S. Dhillon, A non-monotonic method for large-scale non-negative least squares, Optimization Methods and Software, vol.28, issue.5, 2013.

D. Lacroix, K. Joulain, and D. Lemonnier, Monte Carlo transient phonons transport in silicon and germanium at nanoscales, Physical Review B, vol.72, issue.6, 2005.
URL : https://hal.archives-ouvertes.fr/hal-00004663

C. L. Lawson and R. J. Hanson, Solving least squares problems, Society for Industrial and Applied Mathematics, 1995.

S. Lee and D. J. Allstot, Electrothermal simulation of integrated circuits, IEEE Journal of Solid-State Circuits, vol.28, issue.12, 1993.

T. Li, C. Tsai, and S. Kang, Efficient transient electrothermal simulation of CMOS VLSI circuits under electrical overstress, International Conference on Computer-Aided Design (ICCAD), 1998.

W. Liao, L. He, and K. M. Lepak, Temperature and supply voltage aware performance and power modeling at microarchitecture level, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol.24, issue.7, 2005.

P. Linz, Analytical and numerical methods for Volterra equations, Society for Industrial and Applied Mathematics, 1985.

D. Lo and C. Kozyrakis, Dynamic management of TurboMode in modern multi-core chips, International Symposium on High Performance Computer Architecture (HPCA), 2014.

D. Meisner, B. T. Gold, and T. F. Wenisch, PowerNap: eliminating server idle power, International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS), 2009.

D. Meisner, C. M. Sadler, L. A. Barroso, W. Weber, and T. F. Wenisch, Power management of online data-intensive services, International Symposium on Computer Architecture (ISCA), 2011.

M. Merkle, Completely monotone functions: a digest, Analytic Number Theory, Approximation Theory, and Special Functions, 2014.

C. D. Meyer, Matrix analysis and applied linear algebra, Society for Industrial and Applied Mathematics, 2000.

P. Michaud and Y. Sazeides, ATMI: analytical model of temperature in microprocessors, Workshop on Modeling, Benchmarking and Simulation, 2007.

P. Michaud, Y. Sazeides, A. Seznec, T. Constantinou, and D. Fetis, An analytical model of temperature in microprocessors, 2005.
URL : https://hal.archives-ouvertes.fr/inria-00070275

, Super fast large-scale nonnegative least squares

A. V. Oppenheim, A. S. Willsky, and S. H. Nawab, Signals and Systems, 1997.

D. Orenstien and R. Ronen, Deterministic power-estimation for thermal control. US patent 7096145, 2002.

M. N. Özi?ik, Boundary value problems of heat conduction, 1968.

J. Palacín, M. Salleras, J. Samitier, and S. Marco, Dynamic compact thermal models with multiple power sources: application to an ultrathin chip stacking technology, IEEE Transactions on Advanced Packaging, vol.28, issue.4, 2005.

S. Park, J. Park, D. Shin, Y. Wang, Q. Xie et al., Accurate modeling of the delay and energy overhead of dynamic voltage and frequency scaling in modern microprocessors, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol.32, issue.5, 2013.

R. Rao, S. Vrudhula, C. Chakrabarti, and N. Chang, An optimal analytical solution for processor speed control with thermal constraints, International Symposium on Low Power Electronics and Design (ISLPED), 2006.

M. Rencz, V. Székely, and A. Poppe, A fast algorithm for the layout based electro-thermal simulation, Design, Automation and Test in Europe Conference (DATE), 2003.

E. Rohou and M. D. Smith, Dynamically managing processor temperature and power, Workshop on Feedback-Directed Optimization, 1999.
URL : https://hal.archives-ouvertes.fr/hal-01798788

E. Rotem, Intel architecture, codename Skylake deep dive: a new architecture to manage power performance and energy efficiency, 2015.

E. Rotem, R. Ginosar, A. Mendelson, and U. C. Weiser, Power and thermal constraints of modern system-on-a-chip computer, Microelectronics Journal, vol.46, issue.12, 2015.

E. Rotem, A. Naveh, D. Rajwan, A. Ananthakrishnan, and E. Weissmann, Power management of the 2nd generation Intel Core microarchitecture, formerly code-named Sandy Bridge, Hot Chips, 2011.

E. Rotem, A. Naveh, D. Rajwan, A. Ananthakrishnan, and E. Weissmann, Powermanagement architecture of the Intel microarchitecture code-named Sandy Bridge, IEEE Micro, vol.32, issue.2, 2012.

E. Rotem, D. Rajwan, and L. Finkelstein, Deterministic management of dynamic thermal response of processors. US patent 8707060, 2008.

M. Sabry, Dynamic compact thermal models used for electronic design: a review of recent progress, ASME International Electronic Packaging Technical Conference and Exhibition, 2003.

E. C. Samson, S. V. Machiroutu, J. Chang, I. Santos, J. Hermerding et al., Interface material selection and a thermal management technique in secondgeneration platforms, Intel Centrino Mobile Technology. Intel Technology Journal, vol.9, issue.1, 2005.

H. Sanchez, B. Kuttanna, T. Olson, M. Alexander, G. Gerosa et al., Thermal management system for high performance PowerPC microprocessors, IEEE COMPCON, 1997.

R. L. Schilling, R. Song, and Z. Vondra?ek, Bernstein functions, 2010.

E. Seneta, Non-negative matrices and Markov chains, 1981.

S. Sharifi, D. Krishnaswamy, and T. ?imuni?-rosing, PROMETHEUS: a proactive method for thermal management of heterogeneous MPSoCs, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol.32, issue.7, 2013.

K. Skadron, T. Abdelzaher, and M. R. Stan, Control-theoretic techniques and thermal-RC modeling for accurate and localized dynamic thermal management, International Symposium on High-Performance Computer Architecture (HPCA), 2002.

V. Székely, Identification of RC networks by deconvolution: chances and limits, IEEE Transactions on Circuits and Systems I, vol.45, issue.3, 1998.

V. Székely and M. Rencz, Thermal dynamics and the time constant domain, IEEE Transactions on Components and Packaging Technologies, vol.23, issue.3, 2000.

V. Székely and T. Van-bien, Fine structure of heat flow path in semiconductor devices: a measurement and identification method, Solid-State Electronics, vol.31, issue.9, 1988.

M. N. Touzelbaev, J. Miler, Y. Yang, G. Refai-ahmed, and K. E. Goodson, High-efficiency transient temperature calculations for applications in dynamic thermal management of electronic devices, ASME Journal of Electronic Packaging, vol.135, issue.3, 2013.

C. Tsai and S. Kang, Fast temperature calculation for transient electrothermal simulation by mixed frequency/time domain thermal model reduction, Design Automation Conference (DAC), 2000.

H. Vandierendonck and A. Seznec, Fairness metrics for multi-threaded processors, IEEE Computer Architecture Letters, vol.10, issue.1, 2011.

A. Vassighi and M. Sachdev, Thermal runaway in integrated circuits, IEEE Transactions on Device and Materials Reliability, vol.6, issue.2, 2006.

Y. Wang, K. Ma, and X. Wang, Temperature-constrained power control for chip multiprocessors with online model estimation, International Symposium on Computer Architecture (ISCA), 2009.

N. H. Weste and D. M. Harris, CMOS VLSI design: a circuits and systems perspective, 2010.

O. Wing, Classical circuit theory, 2009.

S. M. Zemyan, RR n°9308 RESEARCH CENTRE RENNES -BRETAGNE ATLANTIQUE Campus universitaire de Beaulieu 35042 Rennes Cedex Publisher Inria Domaine de Voluceau -Rocquencourt BP 105 -78153 Le Chesnay Cedex inria, pp.249-6399, 2012.