S. Das, Energy-efficient and reliable 3D Network-on-Chip (NoC): Architectures and optimization algorithms, Proc. IEEE/ACM Inter. Conf. on Computer-Aided Design (ICCAD), pp.1-6, 2016.

A. Brière, A Dynamically Reconfigurable RF NoC for Many-Core, Proce. on Great Lakes Symposium on VLSI, pp.139-144, 2015.

Z. Chen, A Power Efficient and Compact Optical Interconnect for Network-on-Chip, IEEE Computer Arch. Letters, vol.13, issue.1, pp.5-8, 2014.

A. Mineo, Runtime Tunable Transmitting Power Technique in mm-Wave WiNoC Architectures, IEEE Trans. on VLSI Systems, vol.24, issue.4, pp.1535-1545, 2016.

X. Yu, A wideband body-enabled millimeter-wave transceiver for wireless network-on-chip, Inter. Midwest Symposium on Circuits and Systems (MWSCAS), pp.1-4, 2011.

X. Yu and H. Rashtian, An 18.7-Gb/s 60-GHz OOK Demodulator in 65-nm CMOS for Wireless Network-on-Chip, IEEE Trans. on Circuits and Systems I: Regular Papers, vol.62, issue.3, pp.799-806, 2015.

P. P. Pande, Hybrid wireless network on chip: A new paradigm in multi-core design, Proc. Int. Work, pp.71-76, 2009.

A. Asaduzzaman, An Energy-Efficient Directory Based Multicore Architecture with Wireless Routers to Minimize the Communication Latency, IEEE Trans. on Parallel and Distributed Sys, vol.28, issue.2, pp.374-385, 2017.

D. Zhao, Design of Multi-channel Wireless NoC to Improve Onchip Communication Capacity, Proc. IEEE Int. Symp. on NoC, pp.177-184, 2011.

S. Lee, A Scalable Micro Wireless Interconnect Structure for CMPs, Proc. Int. Conf. on Mobile Computing and Networking, pp.217-228, 2009.

S. H. Gade, S. Garg, and S. Deb, OFDM Based High Data Rate, Fading Resilient Transceiver for Wireless Networks-on-Chip, Proc. IEEE Computer Society Annual Symp. on VLSI, pp.483-488, 2017.

A. Vidapalapati, NoC architectures with adaptive CDMA based wireless links, Proc. IEEE Inter. Symp. on Circuits and Systems, pp.636-639, 2012.

D. W. Matolak, Channel modeling for wireless networks-onchips, IEEE Comm. Magazine, vol.51, issue.6, pp.180-186, 2013.

M. O. Agyeman, An Analytical Channel Model for Emerging Wireless Networks-on-Chip, Proc. IEEE Int. Conf. on Comp. Science and Engineering, pp.9-15, 2016.

I. E. Masri, Integrated dipole antennas and propagation channel on silicon in Ka band for WiNoC applications, Proc. IEEE Work. on Signal and Power Integrity (SPI), pp.1-4, 2018.
URL : https://hal.archives-ouvertes.fr/hal-01804562

A. Sikder, Reconfigurable Optical and Wireless (R-OWN) Network-on-Chip for High Performance Computing, Proc. ACM Intern. Conf. on Nanoscale Comp. and Comm, vol.25, pp.1-25, 2016.

V. Catania, A. Mineo, S. Monteleone, M. Palesi, and D. Patti, Improving Energy Efficiency in Wireless Network-on-Chip Architectures, J. Emerg. Technol. Comput. Syst, vol.14, issue.1, p.24, 2017.

K. Kim, A plane wave model approach to understanding propagation in an intra-chip communication system, Proc. IEEE Ant. and Propag, vol.2, pp.166-169, 2001.

Y. P. Zhang, Propagation Mechanisms of Radio Waves Over Intra-Chip Channels With Integrated Antennas: Frequency-Domain Measurements and Time-Domain Analysis, IEEE Trans. on Ant. and Prop, vol.55, issue.10, pp.2900-2906, 2007.

J. O. Sosa, O. Sentieys, and C. Roland, A Diversity Scheme to Enhance the Reliability of Wireless NoC in Multipath Channel Environment, Int. Symp. on Network-on-Chip (NOCS), pp.1-8, 2018.
URL : https://hal.archives-ouvertes.fr/hal-01941761

. Proakis, Digital Communications 5th Edition, 2007.

A. Spalvieri and M. Magarini, Computing the feedback filter of the decision feedback equalizer at the fft speed, Asilomar Conf. on Signals, Systems and Computers, vol.1, pp.804-808, 2004.

S. L. , 22.3 A 20GHz-BW 6b 10GS/s 32mW time-interleaved SAR ADC with Master T&H in 28nm UTBB FDSOI technology, Proc. IEEE Inter. Solid-State Circuits Conf. (ISSCC), pp.382-383, 2014.

K. Chang, Performance evaluation and design trade-offs for wireless network-on-chip architectures, Journal on Emerg. Technol. in Computing Systems (JETC), vol.8, issue.3, p.25, 2012.

S. Deb, Enhancing performance of network-on-chip architectures with millimeter-wave wireless interconnects, IEEE Int. Conf. on Appli.-Specific Sys., Archi. and Processors (ASAP), pp.73-80, 2010.

T. Shinde, A 0.24pJ/Bit, 16Gbps OOK Transmitter Circuit in 45-nm CMOS for Inter and Intra-Chip Wireless Interconnects, Proc. Great Lakes Symp. on VLSI (GLVLSI), pp.69-74, 2018.

S. Subramaniam, A 0.36pJ/bit, 17Gbps OOK receiver in 45-nm CMOS for inter and intra-chip wireless interconnects, IEEE Int. System-on-Chip Conf. (SOCC, pp.132-137, 2017.