S. Inc, CCS timing library characterization guidelines, 2016.

, Effective current source model (ECSM) timing and power specification, 2015.

S. Inc, CCS timing, 2006.

L. W. Nagel and D. Pederson, SPICE (Simulation Program with Integrated Circuit Emphasis), EECS Department, 1973.

S. H. Unger, Asynchronous sequential switching circuits with unrestricted input changes, IEEE Transaction on Computers, vol.20, issue.12, pp.1437-1444, 1971.

M. J. Bellido-díaz, J. Juan-chico, A. J. Acosta, M. Valencia, and J. L. Huertas, Logical modelling of delay degradation effect in static CMOS gates, IEE Proceedings -Circuits, Devices, and Systems, vol.147, pp.107-117, 2000.

M. J. Bellido-díaz, J. Juan-chico, and M. Valencia, Logic-Timing Simulation and the Degradation Delay Model, 2006.

F. Najm, A survey of power estimation techniques in VLSI circuits, IEEE Transactions on, vol.2, issue.4, pp.446-455, 1994.

M. Favalli and L. Benini, Analysis of glitch power dissipation in CMOS ICs, Proceedings of the 1995 International Symposium on Low Power Desig n, ser. ISLPED '95, pp.123-128, 1995.

M. Függer, T. Nowak, and U. Schmid, Unfaithful glitch propagation in existing binary circuit models, IEEE Transactions on Computers, vol.65, issue.3, pp.964-978, 2016.

L. R. Marino, The effect of asynchronous inputs on sequential network reliability, IEEE Transactions on Computers, vol.26, issue.11, pp.1082-1090, 1977.

F. U. Rosenberger, C. E. Molnar, T. J. Chaney, and T. Fang, Qmodules: Internally clocked delay-insensitive modules, IEEE Transactions on Computers, vol.37, issue.9, pp.1005-1018, 1988.

R. Najvirt, U. Schmid, M. Hofbauer, M. Függer, T. Nowak et al., Experimental validation of a faithful binary circuit model, Proceedings of the 25th Edition on Great Lakes Symposium on VLSI, ser. GLSVLSI '15, pp.355-360, 2015.
URL : https://hal.archives-ouvertes.fr/hal-01231509

M. A. Horowitz, Timing models for MOS circuits, 1984.

T. Lin and C. Mead, Signal delay in general RC networks, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol.3, issue.4, pp.331-349, 1984.

L. Pillage and R. Rohrer, Asymptotic waveform evaluation for timing analysis, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol.9, issue.4, pp.352-366, 1990.

A. Deng and Y. Shiau, Generic linear RC delay modeling for digital CMOS circuits, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol.9, issue.4, pp.367-376, 1990.

J. A. Brzozowski and J. C. Ebergen, On the delay-sensitivity of gate networks, IEEE Transactions on Computers, vol.41, issue.11, pp.1349-1360, 1992.

J. Juan-chico, M. J. Bellido, P. Ruiz-de-clavijo, A. J. Acosta, and M. Valencia, Degradation delay model extension to CMOS gates, Integrated Circuit Design, ser, pp.149-158, 1918.

A. Millan, J. Juan, M. J. Bellido, P. Ruiz-de-clavijo, and D. Guerrero, Characterization of normal propagation delay for delay degradation model (DDM), Integrated Circuit Design, ser. LNCS 2451, pp.477-486, 2002.

M. Hofbauer, K. Schweiger, H. Dietrich, H. Zimmermann, K. Voss et al., Pulse shape measurements by on-chip sense amplifiers of single event transients propagating through a 90 nm bulk CMOS inverter chain, IEEE Transactions on Nuclear Science, vol.59, issue.6, pp.2778-2784, 2012.