C. Cullmann, C. Ferdinand, G. Gebhard, D. Grund, C. Maiza et al., Predictability Considerations in the Design of Multi-core Embedded Systems, Proceedings of Embedded Real Time Software and Systems, pp.36-42, 2010.

M. Lv, W. Yi, N. Guan, and G. Yu, Combining Abstract Interpretation with Model Checking for Timing Analysis of Multicore Software, Real-Time Systems Symposium (RTSS), pp.339-349, 2010.

M. Zimmer, D. Broman, C. Shaver, and E. A. Lee, FlexPRET: A Processor Platform for Mixed-Criticality Systems, 2014 IEEE 19th Real-Time and Embedded Technology and Applications Symposium (RTAS, pp.101-110, 2014.

M. P. Zimmer, Predictable Processors for Mixed-Criticality Systems and Precision-Timed I/O, 2015.

E. Yip, P. S. Roop, M. Biglari-abhari, and A. Girault, Programming and Timing Analysis of Parallel Programs on Multicores, 2013 13th International Conference on Application of Concurrency to System Design, pp.160-169, 2013.
URL : https://hal.archives-ouvertes.fr/hal-00842402

E. Yip, A. Girault, P. S. Roop, and M. Biglari-abhari, The forec synchronous deterministic parallel programming language for multicores, 2016 IEEE 10th International Symposium on Embedded Multicore/Many-core Systems-on-Chip (MCSoC), pp.297-304, 2016.
URL : https://hal.archives-ouvertes.fr/hal-01412102

S. A. Edwards and E. A. Lee, The Case for the Precision Timed (PRET) Machine, Proceedings of the 44th annual Design Automation Conference, pp.264-265, 2007.

B. Lickly, I. Liu, S. Kim, H. D. Patel, S. A. Edwards et al., Predictable Programming on a Precision Timed Architecture, p.137, 2008.

I. Liu, J. Reineke, D. Broman, M. Zimmer, and E. A. Lee, A PRET Microarchitecture Implementation with Repeatable Timing and Competitive Performance, IEEE, pp.87-93, 2012.

A. Waterman and K. Asanovi, The RISC-V Instruction Set Manual, User-Level ISA, vol.1, 2017.

. Xilinx, MicroBlaze Processor Reference Guide. Embedded Development Kit EDK 13, vol.4, 2012.

S. Andalam, P. S. Roop, A. Girault, and C. Traulsen, A Predictable Framework for Safety-Critical Embedded Systems, IEEE Transactions on Computers, vol.63, issue.7, pp.1600-1612, 2014.
URL : https://hal.archives-ouvertes.fr/hal-01095468

E. Wandeler and L. Thiele, Optimal TDMA Time Slot and Cycle Length Allocation for Hard Real-Time Systems, Asia and South Pacific Conference on Design Automation, p.6, 2006.

T. Carle, M. Djemal, D. Potop-butucaru, R. D. Simone, and Z. Zhang, Static Mapping of Real-Time Applications onto Massively Parallel Processor Arrays, Application of Concurrency to System Design (ACSD), pp.112-121, 2014.
URL : https://hal.archives-ouvertes.fr/hal-01095130

A. Hamann and R. Ernst, TDMA Time Slot and Turn Optimization with Evolutionary Search Techniques, Design, Automation and Test in Europe, vol.1, pp.312-317, 2005.
URL : https://hal.archives-ouvertes.fr/hal-00181535

D. Potop-butucaru, A. Azim, and S. Fischmeister, Semantics-Preserving Implementation of Synchronous Specifications over Dynamic TDMA Distributed Architectures, Proceedings of the tenth ACM international conference on Embedded software, pp.199-208, 2010.
URL : https://hal.archives-ouvertes.fr/inria-00544665

F. Hebbache, M. Jan, F. Brandner, and L. Pautet, Dynamic Arbitration of Memory Requests with TDM-like Guarantees, 10th International Workshop on Compositional Theory and Technology for Real-Time Embedded Systems (CRTS 2017), 2017.
URL : https://hal.archives-ouvertes.fr/hal-02287739

H. Kopetz, The Time-Triggered Model of Computation," in rtss, p.168, 1998.

, Real-Time Systems: Design Principles for Distributed Embedded Applications, 2011.

A. Burns and A. J. Wellings, Real-Time Systems and Programming Languages, vol.95, 2001.

T. A. Henzinger, B. Horowitz, and C. M. Kirsch, Embedded Control Systems Development with Giotto, ACM SIGPLAN Notices, vol.36, issue.8, pp.64-72, 2001.

P. Derler, T. H. Feng, E. A. Lee, S. Matic, H. D. Patel et al., PTIDES: A Programming Model for Distributed Real-Time Embedded Systems, 2008.

C. M. Kirsch and A. Sokolova, The Logical Execution Time Paradigm, Advances in Real-Time Systems, pp.103-120, 2012.

A. Burns and A. Wellings, Concurrent and Real-Time Programming in Ada, 2007.

N. Gehani and K. Ramamritham, Real-time Concurrent C: A Language for Programming Dynamic Real-Time Systems, Real-Time Systems, vol.3, issue.4, pp.377-405, 1991.

A. Benveniste, P. Caspi, S. A. Edwards, N. Halbwachs, P. L. Guernic et al., The Synchronous Languages 12 Years Later, Proceedings of the IEEE, vol.91, issue.1, pp.64-83, 2003.

S. Natarajan and D. Broman, Timed C: An Extension to the C Programming Language for Real-Time Systems, Real-Time and Embedded Technology and Application Symposium, RTAS'18, 2018.

R. Wilhelm, J. Engblom, A. Ermedahl, N. Holsti, S. Thesing et al., The Worst-Case Execution-Time Problem -Overview of Methods and Survey of Tools, ACM Transactions on Embedded Computing Systems (TECS), vol.7, issue.3, p.36, 2008.

H. Herbegue, H. Cassé, M. Filali, and C. Rochange, Hardware Architecture Specification and Constraint-Based WCET Computation, 8th IEEE International Symposium on Industrial Embedded Systems (SIES), pp.259-268, 2013.
URL : https://hal.archives-ouvertes.fr/hal-01148073

H. Falk and P. Lokuciejewski, A Compiler Framework for the Reduction of Worst-case Execution Times, Real-Time Syst, vol.46, issue.2, pp.251-300, 2010.

, OTAWA Loader for RISC-V Instruction Set, 2017.

C. Pagetti, J. Forget, H. Falk, D. Oehlert, and A. Luppold, Automated Generation of Time-predictable Executables on Multicore, Proceedings of the 26th International Conference on Real-Time Networks and Systems, ser. RTNS '18, pp.104-113, 2018.
URL : https://hal.archives-ouvertes.fr/hal-01888728

R. Gorcitz, E. Kofman, T. Carle, D. Potop-butucaru, and R. Simone, On the Scalability of Constraint Solving for Static/Off-line Real-Time Scheduling, International Conference on Formal Modeling and Analysis of Timed Systems, pp.108-123, 2015.
URL : https://hal.archives-ouvertes.fr/hal-01250010

H. Vo, Hardware Construction in Chisel, 2013.

, CPLEX LP File Format, 2018.