, 2020 : Parallélisme / Architecture/ Système/ Temps Réel MILC -Lyon, France, du 30, vol.3

. Bibliographie,

, -hwloc : a Generic Framework for Managing Hardware Affinities in HPC Applications, Proceedings of the 18th Euromicro International Conference on Parallel, Distributed and Network-Based Processing (PDP2010), pp.180-186, 2010.

, ). -User Extensible Heap Manager for Heterogeneous Memory Platforms and Mixed Memory Policies, 2015.

(. B. Goglin, Exposing the Locality of Heterogeneous Memory Architectures to HPC Applications, International Symposium on Memory Systems, MEMSYS'16, MEMSYS'16, 2016.
URL : https://hal.archives-ouvertes.fr/hal-01330194

, ). -Introducing the Graph500, Cray Users Group (CUG), vol.19, pp.45-74, 2010.

. Narayan, MOCA : Memory Object Classification and Allocation in Heterogeneous Memory Systems, Proceedings of the 2018 IEEE International Parallel and Distributed Processing Symposium, 2018.

, ). -Characterizing the performance benefit of hybrid memory system for HPC applications, Parallel Computing, vol.76, pp.57-69, 2018.

, ). -Automating the Application Data Placement in Hybrid Memory Systems, Proceedings of the IEEE International Conference on Cluster Computing, 2017.

, ). -Knights Landing : Second-Generation Intel Xeon Phi Product, IEEE Micro, vol.36, pp.34-46, 2016.

, Neumann (T.) et Kemper (A.). -Persistent Memory I/O Primitives. arXiv e-prints, 2019.