H. Esmaeilzadeh, E. Blem, R. St, K. Amant, D. Sankaralingam et al., Dark Silicon and the End of Multicore Scaling, 38th Annual International Symposium on Computer Architecture (ISCA), pp.365-376, 2011.

A. D. Pimentel, C. Erbas, and S. Polstra, A systematic approach to exploring embedded system architectures at multiple abstraction levels, IEEE Transactions on Computers, 2006.

R. B. Atitallah, E. Senn, D. Chillet, M. Lanoe, and D. Blouin, An efficient framework for power-aware design of heterogeneous mpsoc, IEEE Transactions on Industrial Informatics, 2013.
URL : https://hal.archives-ouvertes.fr/hal-00921900

S. K. Rethinagiri, O. Palomar, A. Cristal, O. Unsal, and M. M. Swift, DESSERT: DESign Space ExploRation Tool based on power and energy at System-Level, 27th IEEE International System-on-Chip Conference (SOCC), pp.48-53, 2014.

J. Cong, Z. Fang, M. Gill, and G. Reinman, Parade: A cycle-accurate full-system simulation platform for accelerator-rich architectural design and exploration, IEEE/ACM International Conference on Computer-Aided Design (ICCAD), pp.380-387, 2015.

S. Goossens, B. Akesson, M. Koedam, A. B. Nejad, A. Nelson et al., The compsoc design flow for virtual execution platforms, 10th FPGAworld Conference, 2013.

M. Ammar, M. Baklouti, M. Pelcat, K. Desnos, and M. Abid, On exploiting energy-aware scheduling algorithms for mde-based design space exploration of mp2soc, 24th Euromicro International Conference on Parallel, Distributed, and Network-Based Processing, 2016.
URL : https://hal.archives-ouvertes.fr/hal-01305971

A. Khecharem, C. Gomez, J. Deantoni, F. Mallet, and R. D. Simone, Execution of heterogeneous models for thermal analysis with a multiview approach, Proceedings of the 2014 Forum on Specification and Design Languages (FDL), 2014.
URL : https://hal.archives-ouvertes.fr/hal-01060309

G. Zhong, A. Prakash, Y. Liang, T. Mitra, and S. Niar, Lin-Analyzer: A high-level performance analysis tool for FPGA-based accelerators, ACM/IEEE Design Automation Conference (DAC), pp.1-6

D. Wijesundera, A. Prakash, T. Srikanthan, and A. Ihalage, Framework for Rapid Performance Estimation of Embedded Soft Core Processors, ACM Trans. Reconfigurable Technol. Syst, vol.11, issue.2, 2018.

D. Brooks, V. Tiwari, and M. Martonosi, Wattch: a framework for architectural-level power analysis and optimizations, International Symposium on Computer Architecture (ISCA), pp.83-94, 2000.

W. Ye, N. Vijaykrishnan, M. Kandemir, and M. J. Irwin, The design and use of simplepower: a cycle-accurate energy estimation tool, 37th Design Automation Conference (DAC), pp.340-345, 2000.

N. Binkert, B. Beckmann, G. Black, S. K. Reinhardt, A. Saidi et al., The Gem5 Simulator, SIGARCH Computer Architecture, vol.39, issue.2, pp.1-7, 2011.

S. Li, J. H. Ahn, R. D. Strong, J. B. Brockman, D. M. Tullsen et al., McPAT: An integrated power, area, and timing modeling framework for multicore and manycore architectures, 42nd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO), pp.469-480, 2009.

L. Wang and K. Skadron, Lumos+: Rapid, pre-rtl design space exploration on accelerator-rich heterogeneous architectures with reconfigurable logic, IEEE 34th International Conference on Computer Design (ICCD), 2016.

Y. S. Shao, B. Reagen, G. Wei, and D. Brooks, The Aladdin approach to accelerator design and modeling, IEEE Micro, vol.35, issue.3, pp.58-70, 2015.

L. Wang and K. Skadron, Implications of the power wall: Dim cores and reconfigurable logic, IEEE Micro, 2013.

M. E. Wolf and M. S. Lam, A Loop Transformation Theory and an Algorithm to Maximize Parallelism, IEEE Trans. Parallel Distrib. Syst, pp.452-471, 1991.

C. Bienia, S. Kumar, J. P. Singh, and K. Li, The PARSEC Benchmark Suite: Characterization and Architectural Implications, International Conference on Parallel Architectures and Compilation Techniques (PACT), 2008.

B. Roux, M. Gautier, O. Sentieys, and S. Derrien, Communicationbased power modelling for heterogeneous multiprocessor architectures, IEEE 10th International Symposium on Embedded Multicore/Manycore Systems-on-Chip (mcSoC), pp.209-216, 2016.

S. Verdoolaege, isl: An Integer Set Library for the Polyhedral Model, pp.299-302, 2010.

L. H. Crockett, R. A. Elliot, M. A. Enderwitz, and R. W. Stewart, The Zynq Book: Embedded Processing with the Arm Cortex-A9 on the Xilinx Zynq-7000 All Programmable Soc. Strathclyde Academic Media, 2014.

V. Bhatnagar, G. Ouedraogo, M. Gautier, A. Carer, and O. Sentieys, An FPGA Software Defined Radio Platform with a High-Level Synthesis Design Flow, IEEE Vehicular Technology Conference (VTC-Spring 13), pp.1-5, 2013.
URL : https://hal.archives-ouvertes.fr/hal-00833554

M. Gautier, G. Ouedraogo, and O. Sentieys, Design Space Exploration in an FPGA-Based Software Defined Radio, pp.22-27, 2014.
URL : https://hal.archives-ouvertes.fr/hal-01084781

G. Zhong, A. Prakash, S. Wang, Y. Liang, T. Mitra et al., Design Space exploration of FPGA-based accelerators with multilevel parallelism, IEEE/ACM Design, Automation Test in Europe Conference Exhibition (DATE), pp.1141-1146, 2017.

. Xilinx, Vivado Design Suite -HLX edition, 2015.

G. Optimization, Gurobi optimizer reference manual, 2016.

A. Floch, GeCoS: A framework for prototyping custom hardware design flows, 13th IEEE International Working Conference on Source Code Analysis and Manipulation (SCAM), pp.100-105, 2013.
URL : https://hal.archives-ouvertes.fr/hal-00921370