P. Antognetti, G. R. Bisio, F. Curatelli, and S. Palara, Three-dimensional transient thermal simulation: application to delayed short circuit protection in power ICs, IEEE Journal of Solid-State Circuits, vol.15, issue.3, pp.15277-281, 1980.
DOI : 10.1109/JSSC.1980.1051383

W. Batty, C. E. Christoffersen, A. J. Panks, S. David, C. M. Snowden et al., Electrothermal CAD of power devices and circuits with fully physical time-dependent compact thermal modeling of complex nonlinear 3-d systems, IEEE Transactions on Components and Packaging Technologies, vol.24, issue.4, pp.566-590, 2001.
DOI : 10.1109/6144.974944

P. Chaparro, J. González, and A. González, Thermal-effective clustered microarchitectures, First Workshop on Temperature-Aware Computer Systems (TACS-1), 2004.
DOI : 10.1109/iccd.2004.1347897

D. H. Chien, C. Y. Wang, and C. C. Lee, Temperature solution o f five-layer structure with an embedded circular source, [1992 Proceedings] Intersociety Conference on Thermal Phenomena in Electronic Systems, 1992.
DOI : 10.1109/ITHERM.1992.187759

J. Donald and M. Martonosi, Temperature-aware design issues for SMT and CMP architectures, Workshop on Complexity-Effective Design, 2004.

J. Dorkel, P. Tounsi, and P. Leturcq, Three-dimensional thermal modeling based on the two-port network theory for hybrid or monolithic integrated power circuits, IEEE Transactions on Components, Packaging, and Manufacturing Technology: Part A, vol.19, issue.4, pp.501-507, 1996.
DOI : 10.1109/95.554931

J. Clabes, Design and implementation of the POWER5??? microprocessor, Proceedings of the 41st annual conference on Design automation , DAC '04, 2004.
DOI : 10.1145/996566.996749

V. Gektin, R. Zhang, M. Vogel, G. Xu, and M. Lee, Substantiation of numerical analysis methodology for CPU package with non-uniform heat dissipation and heat sink with simplified fin modeling, The Ninth Intersociety Conference on Thermal and Thermomechanical Phenomena In Electronic Systems (IEEE Cat. No.04CH37543), 2004.
DOI : 10.1109/ITHERM.2004.1319221

S. H. Gunther, F. Binns, D. M. Carmean, and J. C. Hall, Managing the impact of increasing microprocessor power consumption, Q1), 2001.

S. Heo, K. Barr, and K. Asanovi´casanovi´c, Reducing power density through activity migration, Proceedings of the 2003 international symposium on Low power electronics and design , ISLPED '03, 2003.
DOI : 10.1145/871506.871561

. Intel, Intel Pentium 4 processor on 90nm process thermal and mechanical design guidelines, Document, vol.300564, 2004.

A. G. Kokkas, Thermal analysis of multiple-layer structures, IEEE Transactions on Electron Devices, vol.21, issue.11, pp.674-681, 1974.
DOI : 10.1109/T-ED.1974.17993

B. S. Lall, B. M. Guenin, and R. J. Molnar, Methodology for thermal evaluation of multichip modules, IEEE Transactions on Components, Packaging, and Manufacturing Technology: Part A, vol.18, issue.4, pp.758-764, 1995.
DOI : 10.1109/95.477461

P. Leturcq, J. Dorkel, A. Napieralski, and E. Lachiver, A new approach to thermal analysis of power devices, IEEE Transactions on Electron Devices, vol.34, issue.5, pp.341147-1156, 1987.
DOI : 10.1109/T-ED.1987.23057

Y. Li, D. Brooks, Z. Hu, and K. Skadron, Performance, energy and thermal considerations for SMT and CMP architectures, Proceedings of the 11th International Symposium on High-Performance Computer Architecture, 2005.

C. H. Lim, W. R. Daasch, and G. Cai, A thermal-aware superscalar microprocessor, Proceedings of the International Symposium on Quality Electronic Design, 2002.

D. Maillet, S. André, J. C. Batsale, A. Degiovanni, and C. Moyne, Thermal quadrupoles -Solving the heat equation through integral transforms, 2000.

Y. J. Min, A. L. Palisoc, and C. C. Lee, Transient thermal study of semiconductor devices, Proceedings of the 6th SEMI-THERM Symposium, 1990.

A. V. Oppenheim, A. S. Willsky, and S. H. Nawab, Signals and systems, 1996.

]. M. Ozi¸sikozi¸sik, Boundary value problems of heat conduction, 1968.

C. Poirier, R. Mcgowen, C. Bostak, and S. Naffziger, Power and temperature control on a 90nm itanium~-family processor, ISSCC. 2005 IEEE International Digest of Technical Papers. Solid-State Circuits Conference, 2005., 2005.
DOI : 10.1109/ISSCC.2005.1493990

M. D. Powell, M. Gomaa, and T. N. Vijaykumar, Heat-and-run: leveraging SMT and CMP to manage power density through the operating system, Proceedings of the 11th International Conference on Architectural Support for Programming Languages and Operating Systems, 2004.

N. Rinaldi, On the modeling of the transient thermal behavior of semiconductor devices, IEEE Transactions on Electron Devices, vol.48, issue.12, pp.2796-2802, 2001.
DOI : 10.1109/16.974706

N. Rinaldi, Generalized image method with application to the thermal modeling of power devices and circuits, IEEE Transactions on Electron Devices, vol.49, issue.4, pp.679-686, 2002.
DOI : 10.1109/16.992879

L. Shang, L. Peh, A. Kumar, and N. K. Jha, Thermal Modeling, Characterization and Management of On-Chip Networks, 37th International Symposium on Microarchitecture (MICRO-37'04), 2004.
DOI : 10.1109/MICRO.2004.35

K. Skadron, M. R. Stan, W. Huang, S. Velusamy, K. Sankaranarayanan et al., Temperature-aware microarchitecture, Proceedings of the 30th Annual International Symposium on Computer Architecture, 2003.

H. Stehfest, Algorithm 368 : numerical inversion of Laplace transforms, Erratum: remark on algorithm 368, 1970.

G. Xu, B. Guenin, and M. Vogel, Extension of air cooling for high power processors, Proceedings of the 9th Intersociety Thermal Phenomena (ITherm) Conference, 2004.