Out-of-order Predicated Execution with Translation Register Buffer - Inria - Institut national de recherche en sciences et technologies du numérique Accéder directement au contenu
Rapport (Rapport De Recherche) Année : 2003

Out-of-order Predicated Execution with Translation Register Buffer

Résumé

New generation superscalar processors combine predication with large resources. A typical example is the EPIC architecture as defined by the canonical IA64 ISA. Unlike traditional ISAs, these new instruction sets are resistant to an out-of-order execution engine, because of the resource size as well as the complexity of executing predicated instructions. In this paper, we present a novel register management policy that facilitates the out-of-order execution of a fully predicated ISA. For this purpose, a new mechanism, called Translation Register Buffer (TRB) is introduced. A translation register acts as an intermediate register that associates a logical register with a physical register. By providing an indirect access to a logical register, the translation register supports the side effects induced by the cancellation of instructions by predicates. In order to demonstrate the TRB validity, a complete simulation framework that fully supports the IA64 ISA has been designed. This original implementation features an emulator and a cycle accurate 10-stage out-of-order core simulator. Our simulation results indicate that, on average, a 10 be achieved, as compared with the equivalent in-order EPIC architecture.

Domaines

Autre [cs.OH]
Fichier principal
Vignette du fichier
RR-5011.pdf (139.44 Ko) Télécharger le fichier
Loading...

Dates et versions

inria-00071573 , version 1 (23-05-2006)

Identifiants

  • HAL Id : inria-00071573 , version 1

Citer

Amaury Darsch, André Seznec. Out-of-order Predicated Execution with Translation Register Buffer. [Research Report] RR-5011, INRIA. 2003. ⟨inria-00071573⟩
231 Consultations
387 Téléchargements

Partager

Gmail Facebook X LinkedIn More