A. González, M. Valero, J. González, and T. Monreal, Virtual registers, Proceedings Fourth International Conference on High-Performance Computing, 1997.
DOI : 10.1109/HIPC.1997.634516

B. Rau and J. Fisher, Instruction Level Parallel Processing, Journal of Supercomputing, pp.9-50, 1993.

W. Chuang and B. Calder, Predicate prediction for efficient out-of-order execution, Proceedings of the 17th annual international conference on Supercomputing , ICS '03, 2003.
DOI : 10.1145/782814.782840

H. Sharangpani and K. Arora, Itanium processor microarchitecture, IEEE Micro, vol.20, issue.5, pp.24-43, 2000.
DOI : 10.1109/40.877948

G. Sohi and J. Smith, The microarchitecture of superscalar processors, IEEE proceedings, pp.1609-1624, 1995.

R. Johnson and M. Schlansker, Analysis techniques for predicated code, Proceedings of the 29th Annual IEEE/ACM International Symposium on Microarchitecture. MICRO 29, 1996.
DOI : 10.1109/MICRO.1996.566454

C. H. Joseph, M. Park, and . Schlansker, On predicated execution, 1991.

M. Postiff, D. Greene, S. Raasch, and T. Mudge, Integrating superscalar processor components to implement register caching, Proceedings of the 15th international conference on Supercomputing , ICS '01, 2001.
DOI : 10.1145/377792.377859

P. H. Wang, H. Wang, R. M. Kling, K. Ramakrishnan, and J. P. Shen, Register renaming and scheduling for dynamic execution of predicated code, Proceedings HPCA Seventh International Symposium on High-Performance Computer Architecture, 2001.
DOI : 10.1109/HPCA.2001.903248

P. Y. Chang, E. Hao, Y. Pat, and P. Chang, Using Predicated Execution to Improve the Performance of a Dynamically Scheduled Machine with Speculative Execution, International Conference on Paralle Architectures and Compilation Techniques, 1995.
DOI : 10.1007/BF03356749

R. M. Tomasulo, An Efficient Algorithm for Exploiting Multiple Arithmetic Units, IBM Journal of Research and Development, vol.11, issue.1, pp.25-33, 1967.
DOI : 10.1147/rd.111.0025

S. A. Mahlke, R. E. Hank, J. E. Mccormick, D. I. August, and W. W. Hwu, A comparison of full and partial predicated execution support for ILP processors, International Symposium on Computer Architecture, 1995.

D. Sima, The Design Space of Register Renaming, IEEE Micro, pp.70-83, 2000.

T. Monreal, V. Vinals, A. González, M. Valero, and J. González, Delaying physical register allocation through virtual-physical registers, MICRO-32. Proceedings of the 32nd Annual ACM/IEEE International Symposium on Microarchitecture, 1999.
DOI : 10.1109/MICRO.1999.809456

.. Trb-update-without-cancellation, 13 6.2 TRB update with cancellation, p.14

I. Unité-de-recherche, I. Lorraine, V. Technopôle-de-nancy-brabois, I. Lès-nancy-unité-de-recherche, and . Rennes, Campus scientifique, 615 rue du Jardin Botanique Irisa, Campus universitaire de Beaulieu, 35042 RENNES Cedex Unité de recherche INRIA Rhône-Alpes, p.78153, 2004.