C. Kenneth, K. Barr, and . Asanovic, Branch trace compression for snapshot-based simulation, International Symposium on Performance Analysis of Systems and Software, 2006.

A. Baune, F. T. Sommer, M. Erb, D. Wildgruber, B. Kardatzki et al., Dynamical Cluster Analysis of Cortical fMRI Activation, NeuroImage, vol.9, issue.5, pp.477-489, 1999.
DOI : 10.1006/nimg.1999.0429

M. Van-biesbrouck, L. Eeckhout, and B. Calder, Efficient Sampling Startup for Sampled Processor Simulation, International Conference on High Performance Embedded Architectures & Compilers, 2005.
DOI : 10.1007/11587514_5

D. Burger, T. M. Austin, and S. Bennett, Evaluating Future Microprocessors: The SimpleScalar Tool Set, 1996.

L. Eeckhout, S. Eyerman, B. Callens, and K. De-bosschere, Accurately Warmed-up Trace Samples for the Evaluation of Cache Memories, Proceedings of the High Performance Computing Symposium -HPC2003, pp.267-274, 2003.

J. S. Emer, P. Ahuja, E. Borch, A. Klauser, C. Luk et al., Asim: a performance model framework, Computer, vol.35, issue.2, pp.68-76, 2002.
DOI : 10.1109/2.982918

G. Hamerly, E. Perelman, J. Lau, and B. Calder, Simpoint 3.0: Faster and More Flexible Program Analysis, MOBS '05: Workshop on Modeling, Benchmarking and Simulation, 2004.
DOI : 10.1201/9781420037425.ch7

J. R. Larus, Whole program paths, PLDI '99: Proceedings of the ACM SIGPLAN 1999 conference on Programming language design and implementation, pp.259-269, 1999.
DOI : 10.1145/301631.301678

J. Lau, E. Perelman, G. Hamerly, T. Sherwood, and B. Calder, Motivation for Variable Length Intervals and Hierarchical Phase Behavior, IEEE International Symposium on Performance Analysis of Systems and Software, 2005. ISPASS 2005., 2005.
DOI : 10.1109/ISPASS.2005.1430568

URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.65.7709

J. Lau, S. Schoenmackers, and B. Calder, Structures for phase classification, IEEE International Symposium on, ISPASS Performance Analysis of Systems and Software, 2004, 2004.
DOI : 10.1109/ISPASS.2004.1291356

URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.2.7638

W. Liu and M. C. Huang, EXPERT, Proceedings of the 18th annual international conference on Supercomputing , ICS '04, pp.126-135, 2004.
DOI : 10.1145/1006209.1006228

URL : https://hal.archives-ouvertes.fr/hal-01220830

C. G. Nevill-manning and I. H. Witten, Compression and Explanation using Hierarchical Grammars, The Computer Journal, vol.40, issue.2 and 3, pp.103-116, 1997.
DOI : 10.1093/comjnl/40.2_and_3.103

URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.27.7784

D. Pelleg and A. W. Moore, X-means: Extending K-means with Efficient Estimation of the Number of Clusters, ICML '00: Proceedings of the Seventeenth International Conference on Machine Learning, pp.727-734

E. Perelman, G. Hamerly, M. Van-biesbrouck, T. Sherwood, and B. Calder, Using SimPoint for accurate and efficient simulation, ACM SIGMETRICS Performance Evaluation Review, vol.31, issue.1, pp.318-319, 2003.
DOI : 10.1145/885651.781076

E. Perelman, G. Hamerly, and B. Calder, Picking statistically valid and early simulation points, Oceans 2002 Conference and Exhibition. Conference Proceedings (Cat. No.02CH37362), p.244, 2003.
DOI : 10.1109/PACT.2003.1238020

URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.6.1240

D. Gracia-pérez, H. Berry, and O. Temam, Budgeted Region Sampling (BeeRS): Do Not Separate Sampling From Warm-Up, And Then Spend Wisely Your

D. Gracia-pérez, H. Berry, and O. Temam, IDDCA: A New Clustering Approach For Sampling, MoBS '05: Workshop on Modeling, Benchmarking and Simulation, 2005.

D. Gracia-pérez, G. Mouchard, and O. Temam, MicroLib: A Case for the Quantitative Comparison of Micro-Architecture Mechanisms, MICRO- 37: Proceedings of the 37th International Symposium on Microarchitecture, pp.43-54, 2004.

T. Sherwood, E. Perelman, G. Hamerly, and B. Calder, Automatically characterizing large scale program behavior, ACM SIGOPS Operating Systems Review, vol.36, issue.5, pp.45-57, 2002.
DOI : 10.1145/635508.605403

URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.118.6150

M. Vachharajani, N. Vachharajani, D. A. Penry, J. A. Blome, and D. I. August, Microarchitectural exploration with Liberty, 35th Annual IEEE/ACM International Symposium on Microarchitecture, 2002. (MICRO-35). Proceedings., 2001.
DOI : 10.1109/MICRO.2002.1176256

URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.3.1059

R. E. Wunderlich, T. F. Wenisch, B. Falsafi, and J. C. Hoe, SMARTS: accelerating microarchitecture simulation via rigorous statistical sampling, ISCA '03: Proceedings of the 30th annual international symposium on Computer architecture, pp.84-97, 2003.
DOI : 10.1109/isca.2003.1206991

URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.112.6527