F. Bellosa, S. Kellner, M. Waiz, and A. Weissel, Event-driven energy accounting for dynamic thermal management, Workshop on Compilers and Operating Systems for Low Power, 2003.

D. Brooks and M. Martonosi, Dynamic thermal management for high-performance microprocessors, Proceedings HPCA Seventh International Symposium on High-Performance Computer Architecture, 2001.
DOI : 10.1109/HPCA.2001.903261

D. Brooks, V. Tiwari, and M. Martonosi, Wattch : a framework for architectural-level power analysis and optimizations, Proceedings of the International Symposium on Computer Architecture, 2000.

D. C. Burger, T. M. Austin, and S. Bennett, Evaluating Future Microprocessors: The Simplescalar Tool Set, 1996.

P. Chaparro, J. González, and A. González, Thermal-effective clustered microarchitectures, Workshop on Temperature-Aware Computer Systems, 2004.
DOI : 10.1109/iccd.2004.1347897

P. Chaparro, J. González, G. Magklis, Q. Cai, and A. González, Understanding the thermal implications of multicore architectures, IEEE Transactions on Parallel and Distributed Systems, issue.8, p.18, 2007.

P. Chaparro, G. Magklis, J. González, and A. González, Distributing the Frontend for Temperature Reduction, 11th International Symposium on High-Performance Computer Architecture, 2005.
DOI : 10.1109/HPCA.2005.12

J. Choi, C. Cher, H. Franke, H. Hamann, A. Weger et al., Thermal-aware task scheduling at the system software level, Proceedings of the 2007 international symposium on Low power electronics and design, ISLPED '07, 2007.
DOI : 10.1145/1283780.1283826

S. W. Chung and K. Skadron, Using on-chip event counters for high-resolution realtime temperature measurement, Proceedings of the 10th Intersociety Conference on Thermal and Thermomechanical Phenomena in Electronic Systems (ITherm), 2006.

A. Cohen, L. Finkelstein, A. Mendelson, R. Ronen, and D. Rudoy, On Estimating Optimal Performance of CPU Dynamic Thermal Management, IEEE Computer Architecture Letters, vol.2, issue.1, 2003.
DOI : 10.1109/L-CA.2003.5

J. Donald and M. Martonosi, Temperature-aware design issues for SMT and CMP architectures, Workshop on Complexity-Effective Design, 2004.

J. Donald and M. Martonosi, Techniques for Multicore Thermal Management, Proceedings of the International Symposium on Computer Architecture, 2006.
DOI : 10.1145/1150019.1136493

M. S. Floyd, S. Ghiasi, T. W. Keller, K. Rajamani, F. L. Rawson et al., System power management support in the IBM POWER6 microprocessor, IBM Journal of Research and Development, vol.51, issue.6, pp.51733-746, 2007.
DOI : 10.1147/rd.516.0733

S. Gunther, F. Binns, D. Carmean, and J. Hall, Managing the Impact of Increasing Microprocessor Power Consumption, In Intel Technology Journal, 2001.

H. F. Hamann, J. Lacey, A. Weger, and J. Wakil, Spatially-Resolved Imaging of Microprocessor Power (SIMP): Hotspots in Microprocessors, Thermal and Thermomechanical Proceedings 10th Intersociety Conference on Phenomena in Electronics Systems, 2006. ITHERM 2006., 2006.
DOI : 10.1109/ITHERM.2006.1645331

H. F. Hamann, A. Weger, J. A. Lacey, Z. Hu, P. Bose et al., Hotspot-Limited Microprocessors: Direct Temperature and Power Distribution Measurements, IEEE Journal of Solid-State Circuits, vol.42, issue.1, pp.56-65, 2007.
DOI : 10.1109/JSSC.2006.885064

G. Hamerly, E. Perelman, J. Lau, and B. Calder, Simpoint 3.0: Faster and more flexible program analysis, Journal of Instruction Level Parallel, vol.7, 2005.
DOI : 10.1201/9781420037425.ch7

Y. Han, I. Koren, and C. M. Krishna, Temptor : a lightweight runtime temperature monitoring tool using performance counters, Workshop on Temperature-Aware Computer Systems, 2006.

Y. Han, I. Koren, and C. A. Moritz, Temperature aware floorplanning, Workshop on Temperature-Aware Computer Systems, 2005.

T. Heath, A. P. Centeno, P. George, L. Ramos, Y. Jaluria et al., Mercury and Freon : temperature emulation and management for server systems, Proceedings of the International Conference on Architectural Support for Programming Languages and Operating Systems, 2006.

S. Heo, K. Barr, and K. Asanovi´casanovi´c, Reducing power density through activity migration, Proceedings of the 2003 international symposium on Low power electronics and design , ISLPED '03, 2003.
DOI : 10.1145/871506.871561

M. Huang, J. Renau, S. Yoo, and J. Torrellas, A framework for dynamic energy efficiency and temperature management, Proceedings of the International Symposium on Microarchitecture, 2000.

J. C. Ku, S. Ozdemir, G. Memik, and Y. Ismail, Thermal management of on-chip caches through power density minimization, Proceedings of the International Symposium on Microarchitecture, 2005.

A. Kumar, L. Shang, L. Peh, and N. K. Jha, HybDTM, Proceedings of the 43rd annual conference on Design automation , DAC '06, 2006.
DOI : 10.1145/1146909.1147052

E. Kursun, C. Cher, A. Buyuktosunoglu, and P. Bose, Investigating the effects of task scheduling on thermal behavior, Workshop on Temperature-Aware Computer Systems, 2006.

T. Lafage and A. Seznec, Choosing Representative Slices of Program Execution for Microarchitecture Simulations: A Preliminary Application to the Data Stream, 2000.
DOI : 10.1007/978-1-4615-1613-2_7

URL : https://hal.archives-ouvertes.fr/inria-00476687

K. Lee and K. Skadron, Using performance counters for runtime temperature sensing in high-performance processors, Workshop on High-Performance Power-Aware Computing, 2005.

W. Liao, L. He, and K. M. Lepak, Temperature and supply Voltage aware performance and power modeling at microarchitecture level, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol.24, issue.7, pp.1042-1053, 2005.
DOI : 10.1109/TCAD.2005.850860

C. H. Lim, W. R. Daasch, and G. Cai, A thermal-aware superscalar microprocessor, Proceedings of the International Symposium on Quality Electronic Design, 2002.

Z. Lu, J. Lach, M. R. Stan, and K. Skadron, Improved thermal management with reliability banking, IEEE Micro, 2005.

A. Merkel, F. Bellosa, and A. Weissel, Event-driven thermal management in SMP systems, Workshop on Temperature-Aware Computer Systems, 2005.

P. Michaud and Y. Sazeides, ATMI : analytical model of temperature in microprocessors, Workshop on Modeling, Benchmarking and Simulations, 2007.

P. Michaud and Y. Sazeides, A study of thread migration in temperature-constrained multi-cores, ACM Transactions on Architecture and Code Optimization, vol.4, issue.2, 2007.

M. Monchiero, R. Canal, and A. González, Design space exploration for multicore architectures, Proceedings of the 20th annual international conference on Supercomputing , ICS '06, 2006.
DOI : 10.1145/1183401.1183428

M. Mutyam, F. Li, V. Narayanan, M. Kandemir, and M. J. Irwin, Compiler-directed thermal management for VLIW functional units, Proceedings of the ACM SIG- PLAN/SIGBED Conference on Languages, Compilers and Tool Support for Embedded Systems, 2006.
DOI : 10.1145/1159974.1134674

S. H. Narayanan, G. Chen, M. Kandemir, and Y. Xie, Temperature-sensitive loop parallelization for chip multiprocessors, 2005 International Conference on Computer Design, 2005.
DOI : 10.1109/ICCD.2005.105

M. D. Powell, M. Gomaa, and T. N. Vijaykumar, Heat-and-run : leveraging SMT and CMP to manage power density through the operating system, Proceedings of the International Conference on Architectural Support for Programming Languages and Operating Systems, 2004.

M. D. Powell, E. Schuchman, and T. N. Vijaykumar, Balancing Resource Utilization to Mitigate Power Density in Processor Pipelines, 38th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO'05), 2005.
DOI : 10.1109/MICRO.2005.14

N. Rinaldi, On the modeling of the transient thermal behavior of semiconductor devices, IEEE Transactions on Electron Devices, vol.48, issue.12, pp.2796-2802, 2001.
DOI : 10.1109/16.974706

E. Rohou and M. D. Smith, Dynamically managing processor temperature and power, Workshop on Feedback-Directed Optimization, 1999.

K. Sankaranarayanan, S. Velusamy, M. Stan, and K. Skadron, A case for thermal-aware floorplanning at the microarchitecture level, Journal of Instruction-Level Parallelism, vol.7, 2005.

B. Schafer, Y. Lee, and T. Kim, Temperature-aware compilation for VLIW processors, Proceedings of the International Conference on Embedded and Real-Time Computing Systems and Applications, 2007.
DOI : 10.1109/rtcsa.2007.69

URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.126.3997

L. Shang, L. Peh, A. Kumar, and N. K. Jha, Thermal Modeling, Characterization and Management of On-Chip Networks, 37th International Symposium on Microarchitecture (MICRO-37'04), 2004.
DOI : 10.1109/MICRO.2004.35

A. Shayesteh, E. Kursun, T. Sherwood, S. Sair, and G. Reinman, Reducing the latency and area cost of core swapping through shared helper engines, 2005 International Conference on Computer Design, 2005.
DOI : 10.1109/ICCD.2005.93

T. Sherwood, E. Perelman, G. Hamerly, and B. Calder, Automatically characterizing large scale program behavior, Proceedings of the International Conference on Architectural Support for Programming Languages and Operating Systems, 2002.
DOI : 10.1145/635506.605403

URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.118.6150

K. Skadron, T. Abdelzaher, and M. R. Stan, Control-theoretic techniques and thermal-RC modeling for accurate and localized dynamic thermal management, Proceedings Eighth International Symposium on High Performance Computer Architecture, 2002.
DOI : 10.1109/HPCA.2002.995695

K. Skadron, M. R. Stan, W. Huang, and S. Velusamy, Temperature-aware microarchitecture, Proceedings of the International Symposium on Computer Architecture, 2003.
DOI : 10.1145/980152.980157

URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.135.9559

J. Srinivasan and S. V. Adve, Predictive dynamic thermal management for multimedia applications, Proceedings of the 17th annual international conference on Supercomputing , ICS '03, 2003.
DOI : 10.1145/782814.782831

J. Srinivasan and S. V. Adve, The importance of heat-sink modeling for DTM and a correction to " Predictive DTM for Multimedia Applications, Workshop on Duplicating , Deconstructing and Debunking, 2005.

W. Wu, L. Jin, J. Yang, P. Liu, and S. X. Tan, Efficient power modeling and software thermal sensing for runtime temperature monitoring, ACM Transactions on Design Automation of Electronic Systems, vol.12, issue.3, 2007.
DOI : 10.1145/1255456.1255462