B. Abali, H. Franke, X. Shen, D. E. Poff, and T. B. Smith, Performance of hardware compressed main memory, Proceedings HPCA Seventh International Symposium on High-Performance Computer Architecture, p.73, 2001.
DOI : 10.1109/HPCA.2001.903253

A. R. Alameldeen and D. A. Wood, Adaptive cache compression for high-performance processors, ISCA '04: Proceedings of the 31st annual international symposium on Computer architecture, p.212, 2004.

A. R. Alameldeen and D. A. Wood, Frequent pattern compression: A significance-based compression scheme for l2 caches, 2004.

J. F. Cantin, M. H. Lipasti, and J. E. Smith, Improving multiprocessor performance with coarse-grain coherence tracking, ISCA '05: Proceedings of the 32nd annual international symposium on Computer Architecture, pp.246-257, 2005.
DOI : 10.1109/isca.2005.31

URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.188.2627

R. S. De-castro, A. P. Lago, and D. Silva, Adaptive compressed caching: design and implementation, Proceedings. 15th Symposium on Computer Architecture and High Performance Computing, p.10, 2003.
DOI : 10.1109/CAHPC.2003.1250316

F. Douglis, The compression cache: Using on-line compression to extend physical memory, USENIX Winter: Proceedings of 1993 Winter USENIX Conference, pp.519-529, 1993.

M. Ekman and P. Stenstrom, A robust main-memory compression scheme, 32nd International Symposium on Computer Architecture (ISCA'05), pp.74-85, 2005.
DOI : 10.1109/ISCA.2005.6

E. G. Hallnor and S. K. Reinhardt, A fully associative software-managed cache design, ISCA '00: Proceedings of the 27th annual international symposium on Computer architecture, pp.107-116, 2000.
DOI : 10.1145/342001.339660

URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.194.4713

E. G. Hallnor and S. K. Reinhardt, A Unified Compressed Memory Hierarchy, 11th International Symposium on High-Performance Computer Architecture, pp.201-212, 2005.
DOI : 10.1109/HPCA.2005.4

L. R. Hsu, S. K. Reinhardt, R. Iyer, and S. Makineni, Communist, utilitarian, and capitalist cache policies on CMPs, Proceedings of the 15th international conference on Parallel architectures and compilation techniques , PACT '06, pp.13-22, 2006.
DOI : 10.1145/1152154.1152161

J. Lee, W. Hong, and S. Kim, A selective compressed memory system by on-line data decompressing, EUROMICRO Conference, vol.1, pp.1224-1227, 1999.

J. Lee, W. Hong, and S. Kim, An on-chip cache compression technique to reduce decompression overhead and design complexity, Journal of Systems Architecture, vol.46, issue.15, pp.1365-1382, 2000.
DOI : 10.1016/S1383-7621(00)00030-8

K. M. Lepak and M. H. Lipasti, Silent stores for free, MICRO 33: Proceedings of the 33rd annual ACM/IEEE international symposium on Microarchitecture, pp.22-31, 2000.
DOI : 10.1109/micro.2000.898055

A. Moshovos, RegionScout, ISCA '05: Proceedings of the 32nd annual international symposium on Computer Architecture, pp.234-245, 2005.
DOI : 10.1145/1080695.1069990

S. Roy, R. Kumar, and M. Prvulovic, Improving system performance with compressed memory, Proceedings 15th International Parallel and Distributed Processing Symposium. IPDPS 2001, p.66, 2001.
DOI : 10.1109/IPDPS.2001.925011

A. Seznec, Decoupled sectored caches, ISCA '94: Proceedings of the 21st annual international symposium on Computer architecture, pp.384-393, 1994.
DOI : 10.1145/192007.192072

A. Seznec, Analysis of the o-geometric history length branch predictor, ISCA '05: Proceedings of the 32nd annual international symposium on Computer Architecture, pp.394-405, 2005.

A. Snavely, D. M. Tullsen, and G. Voelker, Symbiotic jobscheduling with priorities for a simultaneous multithreading processor, SIGMETRICS '02: Proceedings of the 2002 ACM SIGMETRICS international conference on Measurement and modeling of computer systems, pp.66-76, 2002.

D. Tarjan, S. Thoziyoor, and N. P. Jouppi, Cacti 4.2

L. Villa, M. Zhang, and K. Asanovi´casanovi´c, Dynamic zero compression for cache energy reduction, MICRO 33: Proceedings of the 33rd annual ACM/IEEE international symposium on Microarchitecture, pp.214-220, 2000.

P. R. Wilson, S. F. Kaplan, and Y. Smaragdakis, The case for compressed caching in virtual memory systems, ATEC '99: Proceedings of the annual conference on USENIX Annual Technical Conference, pp.8-8, 1999.

J. Yang and R. Gupta, Frequent value locality and its applications, ACM Transactions on Embedded Computing Systems, vol.1, issue.1, pp.79-105, 2002.
DOI : 10.1145/581888.581894

URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.7.9880

J. Yang, Y. Zhang, and R. Gupta, Frequent value compression in data caches, Proceedings of the 33rd annual ACM/IEEE international symposium on Microarchitecture , MICRO 33, pp.258-265, 2000.
DOI : 10.1145/360128.360154

J. Zebchuk, E. Safi, and A. Moshovos, A Framework for Coarse-Grain Optimizations in the On-Chip Memory Hierarchy, 40th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO 2007), pp.314-327, 2007.
DOI : 10.1109/MICRO.2007.14