C. , F. J. Fernandez, E. Ramirez, A. And, and M. Valero, Improving memory latency aware fetch policies for SMT processors, Intl. Symp. on High-Performance Computing (ISHPC), pp.70-85, 2003.

C. , F. J. Ramirez, A. Valero, M. And-fernandez, and E. , Dynamically controlled resource allocation in SMT processors, MICRO 37: Proceedings of the 37th annual IEEE/ACM International Symposium on Microarchitecture, pp.171-182, 2004.

C. , S. And-yeung, and D. , Learning-based smt processor resource distribution via hill-climbing, ISCA '06: Proc. of the 33rd Ann. Intl. Symp. on Computer Architecture, pp.239-251, 2006.

C. , Y. Fahs, B. And-abraham, and S. , Microarchitecture optimizations for exploiting memory-level parallelism, Proceedings of the 31st annual international symposium on Computer architecture. SIGARCH Comput. Archit. News, p.76, 2004.

D. , G. K. And-yeung, and D. , Transparent threads: Resource sharing in SMT processors for high single-thread performance, 11th International Conference on Parallel Architectures and Compilation Techniques, pp.30-41, 2002.

D. , K. And-holzle, and U. , The cascaded predictor: Economical and adaptive branch target prediction, Proceeding of the 30th Symposium on Microarchitecture, 1998.

E. , A. And-albonesi, and D. H. , Front-end policies for improved issue efficiency in SMT processors, he Ninth International Symposium on High-Performance Computer Architecture (HPCA'03, pp.31-40, 2003.

E. , S. And-eeckhout, and L. , A memory-level parallelism aware fetch policy for SMT processors, HPCA '07: Proceedings of the 2007 IEEE 13th International Symposium on High Performance Computer Architecture, pp.240-249, 2007.

H. , S. And, and A. Seznec, Branch prediction and simultaneous multithreading, Proceedings of the 5th International Conference on Parallel Architectures and Compilation Techniques, pp.169-173, 1996.

J. , D. A. Lin, and C. , Composite confidence estimators for enhanced speculation control, 2002.

K. , R. Sinharoy, B. And-tendler, and J. M. , IBM Power5 chip: a dual-core multithreaded processor, IEEE Micro, vol.24, issue.2, pp.40-47, 2004.

K. , D. And-gaudiot, and J. , Speculation control for simultaneous multithreading, 18th International Parallel and Distributed Processing Symposium (IPDPS'04, pp.76-85, 2004.

L. , J. L. Emer, J. S. Levy, H. M. Stamm, R. L. Tullsen et al., Converting thread-level parallelism to instruction-level parallelism via simultaneous multithreading, ACM Trans. Comput. Syst, vol.15, issue.3, pp.322-354, 1997.

L. , K. Franklin, M. Mukherjee, S. S. And-seznec, and A. , Boosting SMT performance by speculation control, Proceedings of the 15th International Parallel & Distributed Processing Symposium (IPDPS-01), 2001.

L. , K. Gummaraju, J. , A. Franklin, and M. , Balancing throughput and fairness in SMT processors, IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS). Performance Analysis of Systems and Software, 2001. ISPASS. 2001 IEEE International Symposium on, pp.164-171, 2001.

P. , D. Moore, and A. , X-means: Extending k-means with efficient estimation of the number of clusters, Proceedings of the Seventeenth International Conference on Machine Learning, pp.727-734, 2000.

S. , Y. Kumar, R. Tullsen, D. M. And-constantinou, and T. , The danger of intervalbased power efficiency metrics: When worst is best, IEEE Comput. Archit. Lett, vol.4, issue.1 1, 2005.

S. , T. Perelman, E. Hamerly, G. And-calder, and B. , Automatically characterizing large scale program behavior, International Conference on Architectural Support for Programming Languages and Operating Systems, 2002.

T. , D. M. And, and J. A. Brown, Handling long-latency loads in a simultaneous multithreading processor, MICRO 34: Proceedings of the 34th annual ACM/IEEE international symposium on Microarchitecture, pp.318-327, 2001.

T. , D. M. Eggers, S. J. Emer, J. S. Levy, H. M. Lo et al., Exploiting choice: Instruction fetch and issue on an implementable simultaneous multithreading processor, Proceedings of the 23rd Annual International Symposium on Computer Architecture, pp.191-202, 1996.

T. , D. M. Eggers, S. J. And-levy, and H. M. , Simultaneous multithreading: Maximizing on-chip parallelism, Proceedings of the 22nd Annual International Symposium on Computer Architecture, pp.392-304, 1995.

V. , H. And, and A. Seznec, Fetch gating control through speculative instruction window weighting, 2nd HiPEAC Conference, pp.120-135, 2007.