M. Annavaram, E. Grochowski, and J. Shen, Mitigating Amdahl's Law through EPI Throttling, Proceedings of the 32nd Annual International Symposium on Computer Architecture, 2005.
DOI : 10.1145/1080695.1069995

S. Balakrishnan, R. Rajwar, M. Upton, and K. Lai, The impact of performance asymmetry in emerging multicore architectures, Proceedings of the 32nd Annual International Symposium on Computer Architecture, 2005.

J. A. Brown and D. M. Tullsen, The shared-thread multiprocessor, Proceedings of the 22nd annual international conference on Supercomputing , ICS '08, 2008.
DOI : 10.1145/1375527.1375541

P. Chaparro, J. González, G. Magklis, Q. Cai, and A. González, Understanding the Thermal Implications of Multi-Core Architectures, IEEE Transactions on Parallel and Distributed Systems, vol.18, issue.8, pp.1055-1065, 2007.
DOI : 10.1109/TPDS.2007.1092

B. Choi, L. Porter, and D. M. Tullsen, Accurate branch prediction for short threads, Proceedings of the 13th International Conference on Architectural Support for Programming Languages and Operating Systems, 2008.
DOI : 10.1145/1346281.1346298

URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.615.5223

T. Constantinou, Y. Sazeides, P. Michaud, D. Fetis, and A. Seznec, Performance implications of single thread migration on a chip multi-core, ACM SIGARCH Computer Architecture News, vol.33, issue.4, pp.80-91, 2005.
DOI : 10.1145/1105734.1105745

J. Donald and M. Martonosi, Techniques for Multicore Thermal Management, Proceedings of the 33rd Annual International Symposium on Computer Architecture, 2006.
DOI : 10.1145/1150019.1136493

S. H. Gunther, F. Binns, D. M. Carmean, and J. C. Hall, Managing the impact of increasing microprocessor power consumption, Q1), 2001.

L. Hammond, B. A. Hubbert, M. Siu, M. K. Prabhu, M. K. Chen et al., The Stanford Hydra CMP, IEEE Micro, vol.20, issue.2, pp.71-84, 2000.
DOI : 10.1109/40.848474

S. Heo, K. Barr, and K. Asanovi´casanovi´c, Reducing power density through activity migration, Proceedings of the 2003 international symposium on Low power electronics and design , ISLPED '03, 2003.
DOI : 10.1145/871506.871561

M. D. Hill and M. R. Marty, Amdahl's Law in the Multicore Era, Computer, vol.41, issue.7, 2007.
DOI : 10.1109/MC.2008.209

. Intel, Intel Core i7 Extreme Edition and Intel Core i7 Processor and LGA1366 Socket, Thermal / Mechanical Design Guide, 2009.

E. Ipek, M. K?rman, N. K?rman, and J. F. Martínez, Core fusion : accommodating software diversity in chip multiprocessors, Proceedings of the International Symposium on Computer Architecture, 2007.

U. R. Karpuzcu, B. Greskamp, and J. Torrellas, The BubbleWrap many-core, Proceedings of the 42nd Annual IEEE/ACM International Symposium on Microarchitecture, Micro-42, 2009.
DOI : 10.1145/1669112.1669169

C. Kim, S. Sethumadhavan, M. S. Govindan, N. Ranganathan, D. Gulati et al., Composable Lightweight Processors, 40th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO 2007), 2007.
DOI : 10.1109/MICRO.2007.41

URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.101.8778

N. S. Kim, K. Flautner, D. Blaauw, and T. Mudge, Circuit and microarchitectural techniques for reducing cache leakage power, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, pp.167-184, 2004.

V. Krishnan and J. Torrellas, A chip-multiprocessor architecture with speculative multithreading, IEEE Transactions on Computers, vol.48, issue.9, pp.866-880, 1999.
DOI : 10.1109/12.795218

R. Kumar and G. Hinton, A Family of 45nm IA Processors, 2009 IEEE International Solid-State Circuits Conference, Digest of Technical Papers, 2009.
DOI : 10.1109/ISSCC.2009.4977306/mm1

R. Kumar, D. M. Tullsen, N. P. Jouppi, and P. Ranganathan, Heterogeneous chip multiprocessors, Computer, vol.38, issue.11, pp.32-38, 2005.
DOI : 10.1109/MC.2005.379

C. H. Lim, W. R. Daasch, and G. Cai, A thermal-aware superscalar microprocessor, Proceedings of the International Symposium on Quality Electronic Design, 2002.

C. Luk, R. Cohn, R. Muth, H. Patil, A. Klauser et al., Pin : building customized program analysis tools with dynamic instrumentation, Proceedings of the ACM SIGPLAN conference on Programming Language Design and Implementation, 2005.

P. Michaud and Y. Sazeides, ATMI: analytical model of temperature in microprocessors, Third Annual Workshop on Modeling, Benchmarking and Simulation, 2007.

P. Michaud, Y. Sazeides, A. Seznec, T. Constantinou, and D. Fetis, An analytical model of temperature in microprocessors, 2005.
URL : https://hal.archives-ouvertes.fr/inria-00000613

P. Michaud, Y. Sazeides, A. Seznec, T. Constantinou, and D. Fetis, A study of thread migration in temperature-constrained multicores, ACM Transactions on Architecture and Code Optimization, vol.4, issue.2, 2007.
DOI : 10.1145/1250727.1250729

T. Y. Morad, U. C. Weiser, A. Kolodny, M. Valero, and E. Ayguadé, Performance, Power Efficiency and Scalability of Asymmetric Cluster Chip Multiprocessors, IEEE Computer Architecture Letters, vol.5, issue.1, 2006.
DOI : 10.1109/L-CA.2006.6

D. Pham, The design methodology and implementation of a first-generation CELL processor: a multi-core SoC, Proceedings of the IEEE 2005 Custom Integrated Circuits Conference, 2005., 2005.
DOI : 10.1109/CICC.2005.1568604

M. D. Powell, M. Gomaa, and T. N. Vijaykumar, Heat-and-run: leveraging SMT and CMP to manage power density through the operating system, Proceedings of the 11th International Conference on Architectural Support for Programming Languages and Operating Systems, 2004.

K. K. Rangan, G. Wei, and D. Brooks, Thread motion : fine-grained power management for multicore systems, Proceedings of the International Symposium on Computer Architecture, 2009.

A. Shayesteh, E. Kursun, T. Sherwood, S. Sair, and G. Reinman, Reducing the latency and area cost of core swapping through shared helper engines, 2005 International Conference on Computer Design, 2005.
DOI : 10.1109/ICCD.2005.93

K. Skadron, M. R. Stan, W. Huang, S. Velusamy, K. Sankaranarayanan et al., Temperatureaware microarchitecture, Proceedings of the 30th Annual International Symposium on Computer Architecture, 2003.

G. S. Sohi, S. Breach, and T. N. Vijaykumar, Multiscalar processors, Proceedings of the 22nd Annual International Symposium on Computer Architecture, 1995.

B. Stolt, Y. Mittlefehldt, S. Dubey, G. Mittal, M. Lee et al., Design and Implementation of the POWER6 Microprocessor, IEEE Journal of Solid-State Circuits, vol.43, issue.1, pp.21-28, 2008.
DOI : 10.1109/JSSC.2007.910963

M. A. Suleman, O. Mutlu, M. K. Qureshi, and Y. N. Patt, Accelerating critical section execution with asymmetric multi-core architectures, Proceedings of the 14th International Conference on Architectural Support for Programming Languages and Operating Systems, 2009.

J. W. Tschanz, S. G. Narendra, Y. Ye, B. A. Bloechel, S. Borkar et al., Dynamic sleep transistor and body bias for active leakage power control of microprocessors, IEEE Journal of Solid-State Circuits, vol.38, issue.11, pp.1838-1845, 2003.
DOI : 10.1109/JSSC.2003.818291