M. Meerwein, C. Baumgartner, T. Wieja, and W. Glauert, Embedded systems verification with FGPA-enhanced in-circuit emulator, Proceedings 13th International Symposium on System Synthesis, pp.143-148, 2000.
DOI : 10.1109/ISSS.2000.874041

P. Gerin, S. Yoo, G. Nicolescu, and A. Jerraya, Scalable and flexible cosimulation of soc designs with heterogeneous multi-processor target architectures, ASP-DAC '01: Proceedings of the 2001 conference on Asia South Pacific design automation, pp.63-68, 2001.
URL : https://hal.archives-ouvertes.fr/hal-00008089

F. Fummi, G. Perbellini, M. Loghi, and M. Poncino, ISS-centric modular HW/SW co-simulation, Proceedings of the 16th ACM Great Lakes symposium on VLSI , GLSVLSI '06, pp.31-36, 2006.
DOI : 10.1145/1127908.1127918

F. Ghenassia, Transaction-Level Modeling with SystemC. TLM Concepts and Applications for Embedded Systems, 2005.

F. Maraninchi, M. Moy, J. Cornet, L. Maillet-contoz, C. Helmstetter et al., SystemC/TLM Semantics for Heterogeneous Systemon-Chip Validation, Joint IEEE-NEWCAS and TAISA Conference, 2008.
DOI : 10.1109/newcas.2008.4606376

URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.208.7115

M. Reshadi, N. Bansal, P. Mishra, and N. Dutt, An efficient retargetable framework for instruction-set simulation, Proceedings of the 1st IEEE/ACM/IFIP international conference on Hardware/software codesign & system synthesis , CODES+ISSS '03, pp.13-18, 2003.
DOI : 10.1145/944645.944649

M. Chung and C. Kyung, Improvement of compiled instruction set simulator by increasing flexibility and reducing compile time, RSP '04: Proceedings of the 15th IEEE International Workshop on Rapid System Prototyping, pp.38-44, 2004.

B. Delsart, V. Joloboff, and E. Paire, JCOD: A Lightweight Modular Compilation Technology for Embedded Java, Lectures Notes in Computer Science, vol.2491, pp.197-212, 2002.
DOI : 10.1007/3-540-45828-X_15

B. Cmelik and D. Keppel, Shade: a fast instruction-set simulator for execution profiling, SIGMETRICS'94, pp.128-137, 1994.

E. Witchel and M. Rosenblum, Embra, ACM SIGMETRICS Performance Evaluation Review, vol.24, issue.1, pp.68-79, 1996.
DOI : 10.1145/233008.233025

E. Schnarr and J. R. Larus, Fast out-of-order processor simulation using memoization, ACM SIGOPS Operating Systems Review, vol.32, issue.5, pp.283-294, 1998.
DOI : 10.1145/384265.291063

M. Reshadi, P. Mishra, and N. Dutt, Instruction set compiled simulation, Proceedings of the 40th conference on Design automation , DAC '03, pp.758-763, 2003.
DOI : 10.1145/775832.776026

K. Scott, N. Kumar, S. Velusamy, B. Childers, J. W. Davidson et al., Retargetable and reconfigurable software dynamic translation, International Symposium on Code Generation and Optimization, 2003. CGO 2003., 2003.
DOI : 10.1109/CGO.2003.1191531

V. Bala, E. Duesterwald, and S. Banerjia, Dynamo, ACM SIGPLAN Notices, vol.35, issue.5, pp.1-12, 2000.
DOI : 10.1145/358438.349303

F. Bellard, Qemu, a fast and portable dynamic translator, ATEC '05: Proceedings of the annual conference on USENIX Annual Technical Conference, pp.41-41, 2005.

A. Garave, Available: http://www.gavare.se/ gxemul/gxemul-stable, 2007.

Y. Futamura, Partial evaluation of computation process?an approach to a compiler-compiler, Higher Order Symbolic Computation, pp.381-391, 1999.

A. Nohl, G. Braun, O. Schliebusch, R. Leupers, H. Meyr et al., A universal technique for fast and flexible instruction-set architecture simulation, DAC'02, pp.22-27, 2002.

H. Hongwei, S. Jiajia, C. Helmstetter, and V. Joloboff, Generation of executable representation for processor simulation with dynamic translation, Proceedings of the International Conference on Computer Science and Software Engineering, 2008.

J. Cornet, F. Maraninchi, and L. Maillet-contoz, A method for the efficient development of timed and untimed transaction-level models of systems-on-chip, DATE: Design, Automation and Test in Europe, 2008.
URL : https://hal.archives-ouvertes.fr/hal-00281589

X. Community, Xyssl cryptographic open source code, 2007.