A. V. Aho, R. Sethi, and J. Ullman, Compilers: Principles, Techniques and Tools, 1988.

S. K. Baruah and T. P. Baker, Schedulability analysis of global EDF. Real-Time Systems, pp.223-235, 2008.

S. K. Baruah, N. K. Cohen, C. G. Plaxton, and D. A. Varvel, Proportionate progress, Proceedings of the twenty-fifth annual ACM symposium on Theory of computing , STOC '93, pp.600-625, 1996.
DOI : 10.1145/167088.167194

A. Block, B. Brandenburg, J. Anderson, and S. Quint, An Adaptive Framework for Multiprocessor Real-Time System, 2008 Euromicro Conference on Real-Time Systems, pp.23-33, 2008.
DOI : 10.1109/ECRTS.2008.21

B. B. Brandenburg, J. M. Calandrino, and J. H. Anderson, On the Scalability of Real-Time Scheduling Algorithms on Multicore Platforms: A Case Study, 2008 Real-Time Systems Symposium, pp.157-169, 2008.
DOI : 10.1109/RTSS.2008.23

J. Calandrino and J. Anderson, Cache-Aware Real-Time Scheduling on Multicore Platforms: Heuristics and a Case Study, 2008 Euromicro Conference on Real-Time Systems, pp.299-308, 2008.
DOI : 10.1109/ECRTS.2008.10

J. Calandrino and J. Anderson, On the Design and Implementation of a Cache-Aware Multicore Real-Time Scheduler, 2009 21st Euromicro Conference on Real-Time Systems, 2009.
DOI : 10.1109/ECRTS.2009.13

A. Colin and I. Puaut, A modular and retargetable framework for tree-based WCET analysis, Proceedings 13th Euromicro Conference on Real-Time Systems, pp.37-44, 2001.
DOI : 10.1109/EMRTS.2001.933995

C. Ferdinand, Cache Behavior Prediction for Real-Time Systems, 1997.

C. Ferdinand, R. Heckmann, M. Langenbach, F. Martin, M. Schmidt et al., Reliable and Precise WCET Determination for a Real-Life Processor, EMSOFT '01: Proceedings of the First International Workshop on Embedded Software, pp.469-485, 2001.
DOI : 10.1007/3-540-45449-7_32

D. Hardy, T. Piquet, and I. Puaut, Using bypass to tighten WCET estimates for multi-core processors with shared instruction caches, Proceedings of the 30th Real-Time Systems Symposium, 2009.
URL : https://hal.archives-ouvertes.fr/inria-00380298

D. Hardy and I. Puaut, WCET analysis of multi-level noninclusive set-associative instruction caches, Proceedings of the 29th Real-Time Systems Symposium, pp.456-466, 2008.

R. Heckmann, M. Langenbach, S. Thesing, and R. Wilhelm, The influence of processor architecture on the design and the results of WCET tools, Proceedings of the IEEE, p.7, 2003.
DOI : 10.1109/JPROC.2003.814618

S. Kato and N. Yamasaki, Semi-partitioned Fixed-Priority Scheduling on Multiprocessors, 2009 15th IEEE Real-Time and Embedded Technology and Applications Symposium, 2009.
DOI : 10.1109/RTAS.2009.9

C. Lee, H. Hahn, Y. Seo, S. L. Min, R. Ha et al., Analysis of cache-related preemption delay in fixed-priority preemptive scheduling, IEEE Transactions on Computer, issue.6, pp.47700-713, 1998.

T. Lundqvist and P. Stenström, Timing anomalies in dynamically scheduled microprocessors, Proceedings 20th IEEE Real-Time Systems Symposium (Cat. No.99CB37054), pp.12-21, 1999.
DOI : 10.1109/REAL.1999.818824

S. Malik and Y. T. Li, Performance analysis of embedded software using implicit path enumeration, Design Automation Conference, pp.456-461, 1995.

F. Mueller, Static cache simulation and its applications, 1994.

F. Mueller, Timing analysis for instruction caches, Real-Time Systems, vol.18, issue.2/3, pp.217-247, 2000.
DOI : 10.1023/A:1008145215849

H. S. Negi, T. Mitra, and A. Roychoudhury, Accurate estimation of cache-related preemption delay, Proceedings of the 1st IEEE/ACM/IFIP international conference on Hardware/software codesign & system synthesis , CODES+ISSS '03, pp.201-206, 2003.
DOI : 10.1145/944645.944698

M. Paolieri, E. Qui-nones, F. J. Cazorla, G. Bernat, and M. Valero, Hardware support for wcet analysis of hard realtime multicore systems Calculating the maximum execution time of real-time programs, ISCA '09: Proceedings of the 36th annual international symposium on Computer architecture, pp.57-68159, 1989.

J. Rosen, A. Andrei, P. Eles, and Z. Peng, Bus Access Optimization for Predictable Implementation of Real-Time Applications on Multiprocessor Systems-on-Chip, 28th IEEE International Real-Time Systems Symposium (RTSS 2007), pp.49-60, 2007.
DOI : 10.1109/RTSS.2007.24

A. Sarkar, F. Mueller, H. Ramaprasad, and S. Mohan, Push-assisted migration of real-time tasks in multi-core processors, ACM SIGPLAN Notices, vol.44, issue.7, pp.80-89, 2009.
DOI : 10.1145/1543136.1542464

J. Staschulat and R. Ernst, Multiple process execution in cache related preemption delay analysis, Proceedings of the fourth ACM international conference on Embedded software , EMSOFT '04, pp.278-286, 2004.
DOI : 10.1145/1017753.1017798

H. Theiling, C. Ferdinand, and R. Wilhelm, Fast and precise WCET prediction by separated cache and path analyses, Real-Time Systems, vol.18, issue.2/3, pp.157-179, 2000.
DOI : 10.1023/A:1008141130870

R. T. White, F. Mueller, C. A. Healy, D. B. Whalley, and M. G. Harmon, Timing analysis for data and wrap-around fill caches, Real-Time Systems, vol.17, issue.2/3, pp.209-233, 1999.
DOI : 10.1023/A:1008190423977

R. Wilhelm, J. Engblom, A. Ermedahl, N. Holsti, S. Thesing et al., The Determination of Worst-Case Execution Times?Overview of the Methods and Survey of Tools, TECS), 2008.

J. Yan and W. Zhang, WCET Analysis for Multi-Core Processors with Shared L2 Instruction Caches, 2008 IEEE Real-Time and Embedded Technology and Applications Symposium, pp.80-89, 2008.
DOI : 10.1109/RTAS.2008.6