Compiler Controlled Speculation for Power Aware ILP Extraction in Dataflow Architectures - Inria - Institut national de recherche en sciences et technologies du numérique Accéder directement au contenu
Communication Dans Un Congrès Année : 2009

Compiler Controlled Speculation for Power Aware ILP Extraction in Dataflow Architectures

Résumé

Traditional predicated execution uses two techniques: top predication – in which only the head of the dependence chain is predicated, and bottom predication – in which only the tail of the dependence chain is predicated. Top predication prevents speculative execution, thus delivering minimum performance at minimum energy cost, while bottom predication allows full speculation of the dependence chain, resulting in maximum performance at maximum energy cost. In this paper, we propose a novel power-aware ILP extraction technique, denoted the ‘elasticblock', that combines these two extremes, exposing superior energy vs. performance trade-offs. Each instruction in the elastic-block is explicitly guarded by two predicates: the speculative, and the final. Instruction's final predicate is generated using traditional if-conversion technique, while the speculative predicate has its default value statically assigned by the compiler, enabling it to make power-performance trade-offs in the code. Several energy saving code optimizations are proposed for the elasticblock structure.

Dates et versions

inria-00446335 , version 1 (12-01-2010)

Identifiants

Citer

Muhammad Umar Farooq, Lizy John, Margarida F. Jacome. Compiler Controlled Speculation for Power Aware ILP Extraction in Dataflow Architectures. HiPEAC 2009 - High Performance and Embedded Architectures and Compilers, Jan 2009, Paphos, Cyprus. ⟨10.1007/978-3-540-92990-1_24⟩. ⟨inria-00446335⟩

Collections

HIPEAC09
19 Consultations
0 Téléchargements

Altmetric

Partager

Gmail Facebook X LinkedIn More