M. Arnold and H. Corporaal, Designing domain-specific processors, Proceedings of the ninth international symposium on Hardware/software codesign , CODES '01, pp.61-66, 2001.
DOI : 10.1145/371636.371677

K. Atasu, L. Pozzi, and P. Ienne, Automatic applicationspecific instructionset extensions under microarchitectural constraints, 40th Design Automation Conference, 2003.

P. Biswas, S. Banerjee, N. Dutt, L. Pozzi, and P. Ienne, ISEGEN: Generation of High-Quality Instruction Set Extensions by Iterative Improvement, Design, Automation and Test in Europe, 2005.
DOI : 10.1109/DATE.2005.191

URL : https://hal.archives-ouvertes.fr/hal-00181685

N. Clark, H. Zong, and S. Mahlke, Processor acceleration through automated instruction set customization, 22nd Digital Avionics Systems Conference. Proceedings (Cat. No.03CH37449), 2003.
DOI : 10.1109/MICRO.2003.1253189

L. P. Cordella, P. Foggia, C. Sansone, and M. Vento, A (sub)graph isomorphism algorithm for matching large graphs, IEEE Transactions on Pattern Analysis and Machine Intelligence, vol.26, issue.10, pp.1367-1372, 2004.
DOI : 10.1109/TPAMI.2004.75

P. Feautrier, Dataflow analysis of array and scalar references, International Journal of Parallel Programming, vol.24, issue.4, 1991.
DOI : 10.1007/BF01407931

. Gecos, Generic compiler suite -http://gecos.gforge.inria.fr

Y. Guo, Mapping applications to a coarse-grained reconfigurable architecture, 2006.

Y. Guo, G. Smit, H. Broersma, and P. Heysters, A graph covering algorithm for a coarse grain reconfigurable system, Languages, Compilers, and Tools for Embedded Systems (LCTES'03), 2003.

R. Kastner, A. Kaplan, S. O. Memik, and E. Bozorgzadeh, Instruction generation for hybrid reconfigurable systems, ACM Trans. Des. Autom. Electron. Syst, vol.7, issue.4, 2002.

A. A. Kountouris and C. Wolinski, Efficient scheduling of conditional behaviors for high-level synthesis, ACM Transactions on Design Automation of Electronic Systems, vol.7, issue.3, pp.380-412, 2002.
DOI : 10.1145/567270.567272

URL : https://hal.archives-ouvertes.fr/hal-00544901

K. Kuchcinski, Constraints-driven scheduling and resource assignment, ACM Transactions on Design Automation of Electronic Systems, vol.8, issue.3, pp.355-383, 2003.
DOI : 10.1145/785411.785416

K. Kuchcinski, . Ch, and . Wolinski, Global approach to assignment and scheduling of complex behaviors based on HCDG and constraint programming, Journal of Systems Architecture, vol.49, issue.12-15, pp.12-15489, 2003.
DOI : 10.1016/S1383-7621(03)00075-4

J. Larrosa and G. Valiente, Constraint satisfaction algorithms for graph pattern matching, Mathematical Structures in Computer Science, vol.12, issue.04, pp.403-422, 2002.
DOI : 10.1017/S0960129501003577

R. Leupers, K. Karuri, S. Kraemer, and M. Pandey, A design flow for configurable embedded processors based on optimized instruction set extension synthesis, Proceedings of the Design Automation & Test in Europe Conference, 2006.
DOI : 10.1109/DATE.2006.243972

K. Martin, C. Wolinski, K. Kuchcinski, A. Floch, and F. Charot, Constraint-Driven Identification of Application Specific Instructions in the DURASE System, SAMOS IX: International Workshop on Systems, Architectures, Modeling and Simulation, 2009.
DOI : 10.1145/785411.785416

URL : https://hal.archives-ouvertes.fr/inria-00449798

B. D. Mckay, The nauty page, 2004.

A. Peymandoust, L. Pozzi, P. Ienne, and G. Micheli, Automatic instruction set extension and utilization for embedded processors, Proceedings IEEE International Conference on Application-Specific Systems, Architectures, and Processors. ASAP 2003, 2003.
DOI : 10.1109/ASAP.2003.1212834

S. Sorlin, . Ch, and . Solnon, A Global Constraint for Graph Isomorphism Problems, Proceedings First International Conference on Integration of AI and OR Techniques in Constraint Programming for Combinatorial Optimization Problems, 2004.
DOI : 10.1007/978-3-540-24664-0_20

J. R. Ullmann, An Algorithm for Subgraph Isomorphism, Journal of the ACM, vol.23, issue.1, pp.31-42, 1976.
DOI : 10.1145/321921.321925

G. Wang, W. Gong, and R. Kastner, System level partitioning for programmable platforms using the ant colony optimization, International Workshop on Logic & Synthesis, 2004.

. Ch, K. Wolinski, and . Kuchcinski, Identification of application specific instructions based on sub-graph isomorphism constraints, IEEE 18th Intl. Conference on Application-specific Systems, Architectures and Processors, 2007.

. Ch, K. Wolinski, and . Kuchcinski, Automatic selection of application-specific reconfigurable processor extensions, Proc. Design Automation and Test in Europe, 2008.

. Ch, K. Wolinski, E. Kuchcinski, and . Raffin, Architecturedriven synthesis of reconfigurable cells, 2009.