M. Arnold and H. Corporaal, Designing domain-specific processors, Proceedings of the ninth international symposium on Hardware/software codesign , CODES '01, pp.61-66, 2001.
DOI : 10.1145/371636.371677

K. Atasu, L. Pozzi, and P. Ienne, Automatic application-specific instructionset extensions under microarchitectural constraints, 40th Design Automation Conference, 2003.
DOI : 10.1145/775832.775897

URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.1.2401

P. Biswas, S. Banerjee, N. Dutt, L. Pozzi, and P. Ienne, ISEGEN: Generation of High-Quality Instruction Set Extensions by Iterative Improvement, Design, Automation and Test in Europe, 2005.
DOI : 10.1109/DATE.2005.191

URL : https://hal.archives-ouvertes.fr/hal-00181685

N. Clark, H. Zong, and S. Mahlke, Processor acceleration through automated instruction set customization, 22nd Digital Avionics Systems Conference. Proceedings (Cat. No.03CH37449), 2003.
DOI : 10.1109/MICRO.2003.1253189

URL : http://cccp.eecs.umich.edu/papers/ntclark-micro03.pdf

Y. Guo, Mapping applications to a coarse-grained reconfigurable architecture, 2006.

Y. Guo, G. Smit, H. Broersma, and P. Heysters, A graph covering algorithm for a coarse grain reconfigurable system, Languages, Compilers, and Tools for Embedded Systems (LCTES'03), 2003.

R. Kastner, A. Kaplan, S. O. Memik, and E. Bozorgzadeh, Instruction generation for hybrid reconfigurable systems, ACM Trans. Des. Autom. Electron. Syst, vol.7, issue.4, 2002.
DOI : 10.1145/605440.605446

URL : http://beijing.cs.ucla.edu/~kastner/papers/iccad01-instruction_generation-extended.pdf

K. Kuchcinski, Constraints-driven scheduling and resource assignment, ACM Transactions on Design Automation of Electronic Systems, vol.8, issue.3, pp.355-383, 2003.
DOI : 10.1145/785411.785416

C. Lee, M. Potkonjak, and W. H. Mangione-smith, MediaBench: A tool for evaluating and synthesizing multimedia and communicatons systems, International Symposium on Microarchitecture, pp.330-335, 1997.

R. Leupers, K. Karuri, S. Kraemer, and M. Pandey, A design flow for configurable embedded processors based on optimized instruction set extension synthesis, Proceedings of the Design Automation & Test in Europe Conference, 2006.
DOI : 10.1109/DATE.2006.243972

A. Peymandoust, L. Pozzi, P. Ienne, and G. Micheli, Automatic instruction set extension and utilization for embedded processors, Proceedings IEEE International Conference on Application-Specific Systems, Architectures, and Processors. ASAP 2003, 2003.
DOI : 10.1109/ASAP.2003.1212834

G. Wang, W. Gong, and R. Kastner, System level partitioning for programmable platforms using the ant colony optimization, International Workshop on Logic & Synthesis, 2004.

C. Wolinski and K. Kuchcinski, Computation patterns identification for instruction set extensions implemented as reconfigurable hardware, The International Conference on Engineering of Reconfigurable Systems and Algorithms, 2007.

C. Wolinski and K. Kuchcinski, Identification of Application Specific Instructions Based on Sub-Graph Isomorphism Constraints, 2007 IEEE International Conf. on Application-specific Systems, Architectures and Processors (ASAP), 2007.
DOI : 10.1109/ASAP.2007.4430001

C. Wolinski, K. Kuchcinski, and A. Postula, UPaK: Abstract unified pattern based synthesis kernel for hardware and software systems, Materials of the University Booth at DATE 2007, 2007.