S. V. Adve and K. Gharachorloo, Shared memory consistency models: a tutorial, Computer, vol.29, issue.12, pp.66-76, 1996.
DOI : 10.1109/2.546611

N. Agrawal, L. Peh, and N. K. Jha, In-network coherence filtering, Proceedings of the 42nd Annual IEEE/ACM International Symposium on Microarchitecture, Micro-42, 2009.
DOI : 10.1145/1669112.1669143

A. Moshovos, RegionScout, Proceedings of International Symposium on Computer Architecture, 2005.
DOI : 10.1145/1080695.1069990

J. F. Cantin, M. H. Lipasti, and J. E. Smith, Improving Multiprocessor Performance with Coarse-Grain Coherence Tracking, 32nd International Symposium on Computer Architecture (ISCA'05), 2005.
DOI : 10.1109/ISCA.2005.31

V. Salapura, M. Blumrich, and A. Gara, Design and implementation of the blue gene/P snoop filter, 2008 IEEE 14th International Symposium on High Performance Computer Architecture, 2007.
DOI : 10.1109/HPCA.2008.4658623

C. S. Ballapuram, A. Sharif, H. S. ?h, and . Lee, Exploiting Access Semantics and Program Behavior to Reduce Snoop Power in Chip Multiprocessors, Proceeding of the International Conference on Architectural Support for Programming Languages and Operating Systems, 2008.

R. Kumar, V. Zyuban, and D. Tullsen, Interconnections in Multi-Core Architectures, ISCA, 2005.
DOI : 10.1145/1080695.1070004

S. C. Woo, M. Ohara, E. Torrie, J. P. Singh, and A. Gupta, The SPLASH?2 Programs: Characterization and Methodological Considerations, International Symposium on Computer Architecture, pp.24-36, 1995.

N. Muralimanohar, R. Balasubramonian, and N. Jouppi, Optimizing NUCA Organizations and Wiring Alternatives for Large Caches with CACTI 6.0, 40th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO 2007), 2007.
DOI : 10.1109/MICRO.2007.33

L. Cheng, Interconnect-Aware Coherence Protocols for Chip Multiprocessors, Proceeding 33rd International Symposium on Computer Architecture, pp.339-351, 2006.
DOI : 10.1145/1150019.1136515

M. M. Martin, P. J. Harper, D. J. Sorin, M. D. Hill, and D. A. Wood, Using Destination?Set Prediction to Improve the Latency/Bandwidth Tradeoff in Shared?Memory Multiprocessors, Proceedings of International Symposium on Computer Architecture, 2003.

E. Atoofian and A. Baniasadi, Using supplier locality in power-aware interconnects and caches in chip multiprocessors, Journal of Systems Architecture, vol.54, issue.5, pp.507-518, 2007.
DOI : 10.1016/j.sysarc.2007.09.005

A. Moshovos, G. Memik, B. Falsafi, and A. Choudhary, JETTY: filtering snoops for reduced energy consumption in SMP servers, Proceedings HPCA Seventh International Symposium on High-Performance Computer Architecture, 2001.
DOI : 10.1109/HPCA.2001.903254

M. Ekman, F. Dahlgren, and P. Stenström, TLB and Snoop Energy?Reduction Using Virtual Caches for Low?Power Chip?Multiprocessors, Proceeding of ACM International Symposium on Low Power Electronics and Design, 2002.

B. H. Bloom, Space/time trade-offs in hash coding with allowable errors, Communications of the ACM, vol.13, issue.7
DOI : 10.1145/362686.362692

C. Saldanha and M. H. Lipasti, Power Efficient Cache Coherence, High Performance Memory Systems, 2003.

K. Strauss, X. Shen, and J. Torrellas, Flexible Snooping, International Symposium on Computer Architecture, 2006.
DOI : 10.1145/1150019.1136514