T. Austin, E. Larson, and D. Ernst, SimpleScalar: an infrastructure for computer system modeling, Computer, vol.35, issue.2, pp.59-67, 2002.
DOI : 10.1109/2.982917

D. Burger and D. Wood, Accuracy vs. performance in parallel simulation of interconnection networks, Proceedings of 9th International Parallel Processing Symposium, 1995.
DOI : 10.1109/IPPS.1995.395909

K. Chandy and J. Misra, Distributed Simulation: A Case Study in Design and Verification of Distributed Programs, IEEE Transactions on Software Engineering, vol.5, issue.5, pp.440-452, 1979.
DOI : 10.1109/TSE.1979.230182

M. Chidester and A. George, Parallel simulation of chip-multiprocessor architectures, ACM Transactions on Modeling and Computer Simulation, vol.12, issue.3, pp.176-200, 2002.
DOI : 10.1145/643114.643116

J. Chen, M. Annavaram, and M. Dubois, SlackSim: A Platform for Parallel Simulations of CMPs on CMPs, 2008.

J. Chen, Parallel Simulations of Chip Multiprocessors, 2009.

J. Chen, M. Annavaram, and M. Dubois, SlackSim, ACM SIGMETRICS Performance Evaluation Review, vol.37, issue.2, pp.77-78, 2009.
DOI : 10.1145/1639562.1639594

J. Chen, M. Annavaram, and M. Dubois, Exploiting Simulation Slack to Improve Parallel Simulation Speed, 2009 International Conference on Parallel Processing, 2009.
DOI : 10.1109/ICPP.2009.50

A. Falcon, P. Faraboschi, and D. Ortega, An Adaptive Synchronization Technique for Parallel Simulation of Networked Clusters, ISPASS 2008, IEEE International Symposium on Performance Analysis of Systems and software, pp.22-31, 2008.
DOI : 10.1109/ISPASS.2008.4510735

R. M. Fujimoto, Parallel discrete event simulation, Communications of the ACM, vol.33, issue.10, pp.30-53, 1990.
DOI : 10.1145/84537.84545

G. Hinton, The Microarchitecture of the Pentium 4 Processor, Intel Technology Journal, p.1, 2001.

G. Janakiraman and Y. Tamir, Coordinated Checkpointing- Rollback Error Recovery for Distributed Shared Memory MultiMulticomputers, Proc. of the 13th Symposium on Reliable Distributed Systems, pp.42-51, 1994.

D. R. Jefferson, B. Beckman, F. Wieland, and L. Blume, Time warp operating system, ACM SIGOPS Operating Systems Review, vol.21, issue.5, pp.77-93, 1987.
DOI : 10.1145/37499.37508

N. Manjikian, Multiprocessor enhancements of the SimpleScalar tool set, ACM SIGARCH Computer Architecture News, vol.29, issue.1, pp.8-15, 2001.
DOI : 10.1145/373574.373578

J. Miller, Graphite: A distributed parallel simulator for multicores, HPCA, 16 2010 The Sixteenth International Symposium on High-Performance Computer Architecture, 2010.
DOI : 10.1109/HPCA.2010.5416635

S. S. Mukherjee, S. Reinhardt, B. Falsafi, M. Litzkow, S. Huss-lederman et al., Wisconsin Wind Tunnel II: a fast, portable parallel architecture simulator, IEEE Concurreny, vol.8, issue.4, pp.12-20, 2000.
DOI : 10.1109/4434.895100

J. Plank, K. Li, and M. Puening, Diskless checkpointing, IEEE Transactions on Parallel and Distributed Systems, vol.9, issue.10, pp.972-986, 1998.
DOI : 10.1109/71.730527

URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.30.4662

S. C. Woo, M. Ohara, E. Torrie, J. P. Singh, and A. Gupta, The splash-2 programs: Characterization and methodological considerations, Proceedings of the International Symposium on Computer Architecture, pp.24-36, 1995.