S. Rusu, A 45nm 8-core enterprise Xeon R processor, Proceedings of the IEEE Asian Solid-State Circuits Conference, pp.9-12, 2009.

S. Bell, Processor: A 64-Core SoC with Mesh Interconnect, 2008 IEEE International Solid-State Circuits Conference, Digest of Technical Papers, pp.88-598, 2008.
DOI : 10.1109/ISSCC.2008.4523070/mm1

S. Borkar, Thousand core chips, Proceedings of the 44th annual conference on Design automation, DAC '07, pp.746-749, 2007.
DOI : 10.1145/1278480.1278667

D. Wentzlaff, On-Chip Interconnection Architecture of the Tile Processor, IEEE Micro, vol.27, issue.5, pp.15-31, 2007.
DOI : 10.1109/MM.2007.4378780

S. Woo, The SPLASH-2 programs: characterization and methodological considerations, Proceedings of the International Symposium on Computer Architecture, pp.24-36, 1995.
DOI : 10.1109/isca.1995.524546

URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.104.6469

M. A. Kinsy, Application-aware deadlock-free oblivious routing, Proceedings of the International Symposium on Computer Architecture, pp.208-219, 2009.
DOI : 10.1145/1555815.1555782

URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.153.4632

D. Seo, Near-optimal worst-case throughput routing for twodimensional mesh networks, Proceedings of the International Symposium on Computer Architecture, pp.432-443, 2005.

M. H. Cho, Path-based, randomized, oblivious, minimal routing, Proceedings of the 2nd International Workshop on Network on Chip Architectures, NoCArc '09, pp.23-28, 2009.
DOI : 10.1145/1645213.1645220

URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.156.347

L. G. Valiant and G. J. Brebner, Universal schemes for parallel communication, Proceedings of the thirteenth annual ACM symposium on Theory of computing , STOC '81, pp.263-277, 1981.
DOI : 10.1145/800076.802479

T. Nesson and S. L. Johnsson, ROMM routing: A class of efficient Minimal routing algorithms, Proceedings of the International Workshop on Parallel Computer Routing and Communication, pp.185-199, 1994.
DOI : 10.1007/3-540-58429-3_37

K. S. Shim, Static virtual channel allocation in oblivious routing, 2009 3rd ACM/IEEE International Symposium on Networks-on-Chip, pp.38-43, 2009.
DOI : 10.1109/NOCS.2009.5071443

C. J. Glass and L. M. Ni, The turn model for adaptive routing, Proceedings of the International Symposium on Computer Architecture, pp.278-287, 1992.

M. Lis, Guaranteed in-order packet delivery using exclusive dynamic virtual channel allocation, MIT CSAIL, 2009.

A. Banerjee and S. Moore, Flow-aware allocation for on-chip networks, 2009 3rd ACM/IEEE International Symposium on Networks-on-Chip, pp.183-192, 2009.
DOI : 10.1109/NOCS.2009.5071466

M. H. Cho, Oblivious Routing in On-Chip Bandwidth-Adaptive Networks, 2009 18th International Conference on Parallel Architectures and Compilation Techniques, pp.181-190, 2009.
DOI : 10.1109/PACT.2009.41

N. Mckeown, The iSLIP scheduling algorithm for input-queued switches, IEEE/ACM Transactions on Networking, vol.7, issue.2, pp.188-201, 1999.
DOI : 10.1109/90.769767

J. E. Miller, Graphite: A distributed parallel simulator for multicores, HPCA, 16 2010 The Sixteenth International Symposium on High-Performance Computer Architecture, pp.1-12, 2010.
DOI : 10.1109/HPCA.2010.5416635

L. E. Cannon, A cellular computer to implement the Kalman Filter Algorithm, 1969.

N. Agarwal, GARNET: A detailed on-chip network model inside a full-system simulator, 2009 IEEE International Symposium on Performance Analysis of Systems and Software, pp.33-42, 2009.
DOI : 10.1109/ISPASS.2009.4919636

URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.214.6072

H. Wang, Orion: a power-performance simulator for interconnection networks, Proceedings of the International Symposium on Microarchitecture, pp.294-305, 2002.

V. S. Pai, RSIM, ACM SIGARCH Computer Architecture News, vol.25, issue.5, 1997.
DOI : 10.1145/271014.271015

V. Puente, SICOSYS: an integrated framework for studying interconnection network performance in multiprocessor systems, Proceedings 10th Euromicro Workshop on Parallel, Distributed and Network-based Processing, p.15, 2002.
DOI : 10.1109/EMPDP.2002.994207

T. Austin, SimpleScalar: an infrastructure for computer system modeling, Computer, vol.35, issue.2, pp.59-67, 2002.
DOI : 10.1109/2.982917