H. Asadi, V. Sridharan, M. B. Tahoori, and D. Kaeli, Vulnerability Analysis of L2 Cache Elements to Single Event Upsets, Proceedings of the Design Automation & Test in Europe Conference, pp.3001-1276, 2006.
DOI : 10.1109/DATE.2006.244100

A. F. Witulski, J. Sondeen, S. D. Stansberry, J. Draper, L. W. Massengill et al., Models and Algorithmic Limits for an ECC-Based Approach to Hardening Sub-100-nm SRAMs, Nuclear Science IEEE Transactions on, vol.54, issue.4, pp.935-945

D. Burger and T. M. Austin, The SimpleScalar tool set, version 2.0, ACM SIGARCH Computer Architecture News, vol.25, issue.3
DOI : 10.1145/268806.268810

B. Epstein, Truncated Life Tests in the Exponential Case, The Annals of Mathematical Statistics, vol.25, issue.3, pp.25-28
DOI : 10.1214/aoms/1177728723

D. Ernst, N. Kim, S. Das, S. Pant, R. Rao et al., Razor: a low-power pipeline based on circuit-level timing speculation, 22nd Digital Avionics Systems Conference. Proceedings (Cat. No.03CH37449), pp.7-18
DOI : 10.1109/MICRO.2003.1253179

X. Fu, T. Li, and J. Fortes, Sim-SODA: A Unified Framework for Architectural Level Software Reliability Analysis, Workshop on Modeling, Benchmarking and Simulation

P. Hazucha and C. Svensson, Impact of CMOS technology scaling on the atmospheric neutron soft error rate, IEEE Transactions on Nuclear Science, vol.47, issue.6, pp.2586-2594
DOI : 10.1109/23.903813

S. H. Hwang and G. S. Choi, On-chip cache memory resilience, Proceedings Third IEEE International High-Assurance Systems Engineering Symposium (Cat. No.98EX231), pp.240-247
DOI : 10.1109/HASE.1998.731620

J. W. Kellington, R. Mcbeth, P. Sanda, and R. N. Kalla, IBM POWER6 processor soft error tolerance analysis using proton irradiation, Proceedings of the 3rd IEEE Workshop on Silicon Errors in Logic. System Effects (SELSE-3)

M. Li, P. Ramachandran, R. Ulya-karpuzcu, S. Kumar-sastry-hari, and S. Adve, Accurate microarchitecture-level fault modeling for studying hardware faults, 2009 IEEE 15th International Symposium on High Performance Computer Architecture, 2007.
DOI : 10.1109/HPCA.2009.4798242

X. Li, S. V. Adve, J. A. Pradip-bose-rivers, S. Mukherjee, C. Weaver et al., SoftArch: An Architecture Level Tool for Modeling and Analyzing Soft Errors DSN A systematic methodology to calculate the architectural vulnerability factors for a high-performance microprocessor Cache Scrubbing in Microprocessors: Myth or Necessity, Proceedings of the 2005 international Conference on Dependable Systems and Networks Proceedings of the 36th Annual International Symposium on Microarchitecture Proceedings of the 10th IEEE Pacific Rim international Symposium on Dependable Computing (Prdc'04), pp.496-505, 2004.

A. Witulski, E. Perelman, G. Hamerly, M. Van-biesbrouck, T. Sherwood et al., Critical Charge Characterization for Soft Error Rate Modeling in 90nm SRAM Using SimPoint for accurate and efficient simulation, IEEE International Symposium on Circuits and Systems Proceedings of the 2003 ACM SIGMETRICS international Conference on Measurement and Modeling of Calculater Systems SIGMETRICS '03, pp.1879-1882, 2003.

M. Rebaudengo, M. S. Reorda, M. V. Ecoffet, and R. , An Accurate Analysis of the Effects of Soft Errors in the Instruction and Date Caches of a Pipelined Microprocessor, Proc. of the ACM Europe Conf. and Exhibition (DATE'03), pp.602-607

J. S. Gasiot, M. Sair, and . Charney, Determination of key parameters for SEU occurrence using 3-D full cell SRAM simulationsMemory Behavior of the SPEC2000 Benchmark Suite Reliability of Scrubbing Recovery Techniques for Memory Systems International Technology Roadmap for Semiconductors, IEEE Transactions on Nuclear Science IBM Research Report RC IEEE Transactions on Reliability, vol.4639, issue.21852983451, pp.1354-1362, 2000.

V. Sridharan, H. Asadi, M. B. Tahoori, and D. Kaeli, Reducing Data Cache Susceptibility to Soft Errors, IEEE Transactions on Dependable and Secure Computing, vol.3, issue.4, pp.353-364
DOI : 10.1109/TDSC.2006.55

C. Weaver, J. Emer, S. S. Mukherjee, and S. K. Reinhardt, Techniques to Reduce the Soft Error Rate of a High- Performance Microprocessor, 2004.

J. F. Ziegler and W. A. Lanford, The Effect of Cosmic Rays on Calculater Memories, Science, vol.206, issue.776