A. Agarwal, L. Bao, J. Brown, B. Edwards, M. Mattina et al., Tile processor: Embedded multicore for networking and multimedia, p.Hot Chips, 2007.

S. R. Alam, R. F. Barrett, J. A. Kuehn, P. C. Roth, and J. S. Vetter, Characterization of Scientific Workloads on Systems with Multi-Core Processors, 2006 IEEE International Symposium on Workload Characterization, pp.225-236, 2006.
DOI : 10.1109/IISWC.2006.302747

M. Bhadauria, V. M. Weaver, and S. A. Mckee, Understanding PARSEC performance on contemporary CMPs, 2009 IEEE International Symposium on Workload Characterization (IISWC), 2009.
DOI : 10.1109/IISWC.2009.5306793

J. Gonzalez, J. Gimenez, and J. Labarta, Automatic detection of parallel applications computation phases, 2009 IEEE International Symposium on Parallel & Distributed Processing, pp.1-11, 2009.
DOI : 10.1109/IPDPS.2009.5161027

B. Jacob, S. W. Ng, and D. T. Wang, Memory Systems: Cache, DRAM, Disk, 2008.

R. Kalla, B. Sinharoy, W. J. Starke, and M. Floyd, Power7: IBM's Next-Generation Server Processor, IEEE Micro, vol.30, issue.2, pp.7-15, 2010.
DOI : 10.1109/MM.2010.38

P. Kongetira, K. Aingaran, and K. Olukotun, Niagara: A 32-Way Multithreaded Sparc Processor, IEEE Micro, vol.25, issue.2, pp.21-29, 2005.
DOI : 10.1109/MM.2005.35

S. Kottapalli and J. Baxter, Nehalem-EX CPU architecture, In: Hot Chips, 2009.
DOI : 10.1109/hotchips.2009.7478350

L. Liu, Z. Li, and A. H. Sameh, Analyzing memory access intensity in parallel programs on multicore, Proceedings of the 22nd annual international conference on Supercomputing , ICS '08, pp.359-367, 2008.
DOI : 10.1145/1375527.1375579

M. Casas, R. M. Labarta, and J. , Automatic Structure Extraction from MPI Applications Tracefiles, In: Lecture Notes in Computer Science. pp, pp.3-12, 2007.
DOI : 10.1007/978-3-540-74466-5_2

L. Seiler, D. Carmean, E. Sprangle, T. Forsyth, P. Dubey et al., Larrabee: A Many-Core x86 Architecture for Visual Computing, IEEE Micro, vol.29, issue.1, pp.10-21, 2009.
DOI : 10.1109/MM.2009.9

S. C. Woo, M. Ohara, E. Torrie, J. P. Singh, and A. Gupta, The SPLASH-2 programs: characterization and methodological considerations, Intl. Symp. on Computer Architecture, pp.24-36, 1995.