Y. Abulafia and A. Kornfeld, Estimation of FMAX and ISB in microprocessors, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol.13, issue.10, 2006.
DOI : 10.1109/TVLSI.2005.859469

A. Bonnoit, S. Herbert, D. Marculescu, and L. Pileggi, Integrating Dynamic Voltage/Frequency Scaling and Adaptive S, 2009.
DOI : 10.1145/1594233.1594284

K. Bowman, S. Duvall, and J. Meindl, Impact of die-to-die and within-die parameter fluctuations on the maximum clock frequency distribution for gigascale integration, IEEE Journal of Solid-State Circuits, vol.37, issue.2, 2002.
DOI : 10.1109/4.982424

S. Garg and D. Marculescu, System-Level Mitigation of WID Leakage Variations using Body-Bias Islands, Proc

S. Garg, D. Marculescu, R. Marculescu, and U. Ogras, Technology-driven limits on DVFS controllability of multiple voltage-frequency island designs, Proceedings of the 46th Annual Design Automation Conference on ZZZ, DAC '09, 2009.
DOI : 10.1145/1629911.1630120

S. Herbert and D. Marculescu, Analysis of dynamic voltage/frequency scaling in chip-multiprocessors, Proceedings of the 2007 international symposium on Low power electronics and design, ISLPED '07, 2007.
DOI : 10.1145/1283780.1283790

S. Herbert and D. Marculescu, Variation-aware dynamic voltage/frequency scaling, 2009 IEEE 15th International Symposium on High Performance Computer Architecture, 2009.
DOI : 10.1109/HPCA.2009.4798265

URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.160.3591

C. Isci, A. Buyuktosunoglu, C. Cher, P. Bose, M. Martonosi et al., An Analysis of Efficient Multi-Core Global Power Management Policies: Maximizing Performance for a Given Power Budget, 2006 39th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO'06), 2006.
DOI : 10.1109/MICRO.2006.8

S. R. Sarangi, B. Greskamp, R. Teodorescu, J. Nakano, A. Tiwari et al., VARIUS: A Model of Process Variation and Resulting Timing Errors for Microarchitects, IEEE Transactions on Semiconductor Manufacturing, vol.21, issue.1, 2008.
DOI : 10.1109/TSM.2007.913186

R. Teodorescu and J. Torrellas, Variation-aware application scheduling and power management for chip multiprocessors, ISCA'08: Proc. of the 35th ISCA ISCA 08: Proc. of the 35th ISCA, 2008.

J. Tschanz, J. T. Cao, S. G. Narendra, R. Nair, D. A. Antoniadis et al., Adaptive Body Bias for Reducing Impacts of Die-to-Die and Within-Die Parameter Variations on Microprocessor Frequency and Leakage, IEEE Journal of Solid-State Circuits, vol.37, issue.11, 2002.

W. Zhao and Y. Cao, New generation of predictive technology model for sub-45nm early design exploration, IEEE, 2006.