D. Micheli and L. Benini, Networks on Chips, Proceedings of the 47th Design Automation Conference on, DAC '10, 2006.
DOI : 10.1145/1837274.1837352

URL : https://hal.archives-ouvertes.fr/hal-00181292

W. J. Dally and B. Towles, Principles and Practices of Interconnection Networks, 2004.

J. Duato, S. Yalamanchili, and L. Ni, Interconnection Networks: An Engineering Approach, 2002.

R. Marculescu, Computation and communication refinement for multiprocessor SoC design, ACM Transactions on Design Automation of Electronic Systems, vol.11, issue.3, 2006.
DOI : 10.1145/1142980.1142983

R. Marculescu, Outstanding Research Problems in NoC Design: System, Microarchitecture, and Circuit Perspectives, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol.28, issue.1, pp.3-21, 2009.
DOI : 10.1109/TCAD.2008.2010691

T. Bjerregaard and S. Mahadevan, A survey of research and practices of Network-on-chip, ACM Computing Surveys, vol.38, issue.1, pp.1-51, 2006.
DOI : 10.1145/1132952.1132953

J. Henkel, W. Wolf, and S. Chakradhar, On-chip networks: a scalable, communication-centric embedded system design paradigm, 17th International Conference on VLSI Design. Proceedings., pp.845-851, 2004.
DOI : 10.1109/ICVD.2004.1261037

M. Krstic, Locally Synchronous Circuits: Overview and Outlook, Globally Asynchronous IEEE Design and Test of Computers, 2007.

U. Y. Ogras, Design and Management of Voltage-Frequency Island Partitioned Networks-on-Chip, IEEE Trans, 2009.
DOI : 10.1109/TVLSI.2008.2011229

P. Choudhary and D. Marculescu, Power Management of Voltage/Frequency Island Based Systems Using Hardware P. Choudhary, D. Marculescu, Power Management of Voltage/Frequency Island-Based Systems Using Hardware Based Methods, IEEE Trans, 2009.

Y. Abulafia and A. Kornfeld, Estimation of FMAX and ISB in microprocessors, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol.13, issue.10, 2006.
DOI : 10.1109/TVLSI.2005.859469

A. Bonnoit, S. Herbert, D. Marculescu, and L. Pileggi, Integrating Dynamic Voltage/Frequency Scaling and Adaptive S, 2009.

K. Bowman, S. Duvall, and J. Meindl, Impact of die-to-die and within-die parameter fluctuations on the maximum clock frequency distribution for gigascale integration, IEEE Journal of Solid-State Circuits, vol.37, issue.2, 2002.
DOI : 10.1109/4.982424

S. Garg and D. Marculescu, System-Level Mitigation of WID Leakage Variations using Body-Bias Islands, Proc

S. Garg, D. Marculescu, R. Marculescu, and U. Ogras, Technology-driven limits on DVFS controllability of multiple voltage-frequency island designs, Proceedings of the 46th Annual Design Automation Conference on ZZZ, DAC '09, 2009.
DOI : 10.1145/1629911.1630120

S. Herbert and D. Marculescu, Analysis of dynamic voltage/frequency scaling in chip-multiprocessors, Proceedings of the 2007 international symposium on Low power electronics and design, ISLPED '07, 2007.
DOI : 10.1145/1283780.1283790

S. Herbert and D. Marculescu, Variation-aware dynamic voltage/frequency scaling, 2009 IEEE 15th International Symposium on High Performance Computer Architecture, 2009.
DOI : 10.1109/HPCA.2009.4798265

C. Isci, A. Buyuktosunoglu, C. Cher, P. Bose, M. Martonosi et al., An Analysis of Efficient Multi-Core Global Power Management Policies: Maximizing Performance for a Given Power Budget, 2006 39th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO'06), 2006.
DOI : 10.1109/MICRO.2006.8

S. R. Sarangi, B. Greskamp, R. Teodorescu, J. Nakano, A. Tiwari et al., VARIUS: A Model of Process Variation and Resulting Timing Errors for Microarchitects, IEEE Transactions on Semiconductor Manufacturing, vol.21, issue.1, 2008.
DOI : 10.1109/TSM.2007.913186

R. Teodorescu and J. Torrellas, Variation-aware application scheduling and power management for chip multiprocessors, ISCA'08: Proc. of the 35th ISCA ISCA 08: Proc. of the 35th ISCA, 2008.

J. Tschanz, J. T. Cao, S. G. Narendra, R. Nair, D. A. Antoniadis et al., Adaptive Body Bias for Reducing Impacts of Die-to-Die and Within-Die Parameter Variations on Microprocessor Frequency and Leakage, IEEE Journal of Solid-State Circuits, vol.37, issue.11, 2002.

W. Zhao and Y. Cao, New generation of predictive technology model for sub-45nm early design exploration, IEEE, 2006.