P. Ammann and J. Knight, Data diversity: an approach to software fault tolerance, IEEE Transactions on Computers, vol.37, issue.4, pp.418-425, 1988.
DOI : 10.1109/12.2185

T. M. Austin, DIVA: A dynamic approach to microprocessor verification, Journal of Instruction-Level Parallelism, vol.2, pp.1-6, 2000.

D. J. Baylis, Error Correcting Codes: A Mathematical Introduction, 1998.
DOI : 10.1007/978-1-4899-3276-1

N. L. Binkert, R. G. Dreslinski, L. R. Hsu, K. T. Lim, A. G. Saidi et al., The M5 Simulator: Modeling Networked Systems, IEEE Micro, vol.26, issue.4, pp.52-60, 2006.
DOI : 10.1109/MM.2006.82

B. Gold, J. C. Smolens, B. Falsafi, and J. C. Hoe, The granularity of soft-error containment in shared-memory multiprocessors, Proceedings of the 2006 Workshop on System Effects of Logic Soft Errors, 2006.

S. Gupta, F. Sultan, S. Cadambi, F. Ivancic, and M. Rotteler, Using hardware transactional memory for data race detection, 2009 IEEE International Symposium on Parallel & Distributed Processing, pp.1-11, 2009.
DOI : 10.1109/IPDPS.2009.5161006

I. Koren and C. M. Krishna, Fault-Tolerant Systems, 2007.

C. Lee, M. Potkonjak, and W. H. Mangione-smith, Mediabench: A tool for evaluating and synthesizing multimedia and communications systems, Proceedings of 30th Annual IEEE/ACM International Symposium on Microarchitecture, pp.330-335, 1997.

A. Mahmood and E. J. Mccluskey, Concurrent error detection using watchdog processors-a survey, IEEE Transactions on Computers, vol.37, issue.2, pp.160-174, 1988.
DOI : 10.1109/12.2145

K. Moore, J. Bobba, M. Moravan, M. Hill, and D. Wood, LogTM: Log-based Transactional Memory, The Twelfth International Symposium on High-Performance Computer Architecture, 2006., pp.254-265, 2006.
DOI : 10.1109/HPCA.2006.1598134

S. S. Mukherjee, M. Kontz, and S. K. Reinhardt, Detailed design and evaluation of redundant multithreading alternatives, Proceedings of the 29th Annual International Symposium on Computer Architecture, pp.99-110, 2002.
DOI : 10.1145/545214.545227

N. Oh, S. Mitra, and E. J. Mccluskey, ED/sup 4/I: error detection by diverse data and duplicated instructions, IEEE Transactions on Computers, vol.51, issue.2, pp.180-199, 2002.
DOI : 10.1109/12.980007

J. Oplinger and M. S. Lam, Enhancing software reliability with speculative threads, Architectural Support for Programming Languages and Operating Systems, pp.184-196, 2002.

J. H. Patel and L. Y. Fung, Concurrent Error Detection in ALU's by Recomputing with Shifted Operands, IEEE Transactions on Computers, vol.31, issue.7, pp.31589-595, 1982.
DOI : 10.1109/TC.1982.1676055

D. V. Ponomarev, G. Kucuk, O. Ergin, and K. Ghose, Energy efficient comparators for superscalar datapaths, IEEE Transactions on Computers, vol.53, issue.7, pp.892-904, 2004.
DOI : 10.1109/TC.2004.29

L. Porter, B. Choi, and D. M. Tullsen, Mapping Out a Path from Hardware Transactional Memory to Speculative Multithreading, 2009 18th International Conference on Parallel Architectures and Compilation Techniques, pp.313-324, 2009.
DOI : 10.1109/PACT.2009.37

S. K. Reinhardt and S. S. Mukherjee, Transient fault detection via simultaneous multithreading, ACM SIGARCH Computer Architecture News, vol.28, issue.2, pp.25-36, 2000.
DOI : 10.1145/342001.339652

G. A. Reis, J. Chang, D. I. August, and S. S. Mukherjee, Configurable transient fault detection via dynamic binary translation, Proceedings of the 2nd Workshop on Architectural Reliability, 2006.

S. Sanyal, S. Roy, A. Cristal, O. S. Unsal, and M. Valero, Dynamically Filtering Thread-Local Variables in Lazy-Lazy Hardware Transactional Memory, 2009 11th IEEE International Conference on High Performance Computing and Communications, pp.171-179, 2009.
DOI : 10.1109/HPCC.2009.84

A. Shye, V. J. Reddi, T. Moseley, and D. A. Connors, Transient fault tolerance via dynamic process redundancy, Proceedings of the 2006 Workshop on Binary Instrumentation and Applications (WBIA) held in conjunction with ASPLOS-12, 2006.

T. J. Slegel, R. M. Averill, I. , M. A. Check, B. C. Giamei et al., IBM's S/390 G5 microprocessor design, IEEE Micro, vol.19, issue.2, pp.12-23, 1999.
DOI : 10.1109/40.755464

J. C. Smolens, B. T. Gold, J. Kim, B. Falsafi, J. C. Hoe et al., Fingerprinting: bounding softerror detection latency and bandwidth, International Conference on Architectural Support for Programming Languages and Operating Systems, pp.224-234, 2004.

]. F. Tabba, A. W. Hay, and J. R. Goodman, Transactional value prediction, Workshop on Transactional Computing, 2009.
DOI : 10.1145/1995896.1995904

S. Tomi´ctomi´c, C. Perfumo, C. Kulkarni, A. Armejach, A. Cristal et al., Eazyhtm: eager-lazy hardware transactional memory, Micro-42: Proceedings of the 42nd Annual IEEE/ACM International Symposium on Microarchitecture, pp.145-155, 2009.

M. Tremblay and S. Chaudhry, reg Processor, 2008 IEEE International Solid-State Circuits Conference, Digest of Technical Papers, pp.82-83, 2008.
DOI : 10.1109/ISSCC.2008.4523067/mm1

A. Wood, R. Jardine, and W. Bartlett, Data integrity in HP NonStop servers, Proceedings of the 2006 Workshop on System Effects of Logic Soft Errors, 2006.