B. Abali, H. Franke, X. Shen, D. E. Poff, and T. B. Smith, Performance of hardware compressed main memory, Proceedings HPCA Seventh International Symposium on High-Performance Computer Architecture, pp.73-81, 2001.
DOI : 10.1109/HPCA.2001.903253

A. R. Alameldeen and D. A. Wood, Adaptive cache compression for high-performance processors, ISCA '04: Proceedings of the 31st annual International Symposium on Computer Architecture, pp.212-223, 2004.

A. R. Alameldeen and D. A. Wood, Frequent pattern compression: A significance-based compression scheme for L2 caches, 2004.

V. Beltran, J. Torres, and E. Ayguadé, Improving Web Server Performance Through Main Memory Compression, 2008 14th IEEE International Conference on Parallel and Distributed Systems, pp.303-310, 2008.
DOI : 10.1109/ICPADS.2008.15

URL : http://upcommons.upc.edu/bitstream/2117/15688/1/icpads08.pdf

G. Chen, M. Kandemir, N. Vijaykrishnan, M. J. Irwin, B. Mathiske et al., Heap compression for memory-constrained java environments, OOPSLA '03: Proceedings of the 18th annual conference on Object-Oriented Programing, Systems, Languages, and Applications, pp.282-301, 2003.
DOI : 10.1145/949305.949330

URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.4.3091

R. S. De-castro, A. P. Lago, and D. Silva, Adaptive compressed caching: design and implementation, Proceedings. 15th Symposium on Computer Architecture and High Performance Computing, pp.10-18, 2003.
DOI : 10.1109/CAHPC.2003.1250316

F. Douglis, The compression cache: Using on-line compression to extend physical memory, USENIX Winter: Proceedings of 1993 Winter USENIX Conference, pp.519-529, 1993.

J. Dusser, T. Piquet, and A. Seznec, Zero-content augmented caches, Proceedings of the 23rd international conference on Conference on Supercomputing, ICS '09, pp.46-55, 2009.
DOI : 10.1145/1542275.1542288

URL : https://hal.archives-ouvertes.fr/inria-00337742

M. Ekman and P. Stenström, A robust main-memory compression scheme, 32nd International Symposium on Computer Architecture (ISCA'05), pp.74-85, 2005.
DOI : 10.1109/ISCA.2005.6

P. A. Franaszek, P. Heidelberger, D. E. Poff, and J. T. Robinson, Algorithms and data structures for compressed-memory machines, IBM Journal of Research and Development, vol.45, issue.2, pp.245-258, 2001.
DOI : 10.1147/rd.452.0245

P. A. Franaszek, J. T. Robinson, I. T. Watson-research, and . Center, Design and analysis of internal organizations for compressed random access memories, 1998.

P. A. Franaszek and J. T. Robinson, On internal organization in compressed random-access memories, IBM Journal of Research and Development, vol.45, issue.2, pp.259-270, 2001.
DOI : 10.1147/rd.452.0259

P. A. Franaszek, J. T. Robinson, and J. Thomas, Parallel compression with cooperative dictionary construction, Proceedings of Data Compression Conference, DCC '96, pp.200-209, 1996.
DOI : 10.1109/DCC.1996.488325

E. G. Hallnor and S. K. Reinhardt, A Unified Compressed Memory Hierarchy, 11th International Symposium on High-Performance Computer Architecture, pp.201-212, 2005.
DOI : 10.1109/HPCA.2005.4

URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.131.8974

J. Lee, W. Hong, and S. Kim, A selective compressed memory system by on-line data decompressing, Euromicro '99: Proceedings of the 25th annual Euromicro Conference, pp.224-227, 1999.

A. Moshovos, A. Kostopoulos, ]. S. Roy, R. Kumar, and M. Prvulovic, Memory state compressors for giga-scale checkpoint/restore Improving system performance with compressed memory, PACT '05: Proceedings of the 14th annual international conference on Parallel Architectures and Compilation Techniques IPDPS '01: Proceedings of the 15th International Parallel and Distributed Processing Symposium, pp.303-314, 2001.

J. B. Sartor, S. M. Blackburn, D. Frampton, M. Hirzel, and K. S. Mckinley, Z-rays: Divide arrays and conquer speed and flexibility, PLDI '10: Proceedings of the 2010 international conference on Programming Language Design and Implementation, pp.471-482, 2010.

J. B. Sartor, M. Hirzel, and K. S. Mckinley, No bit left behind, Proceedings of the 7th international symposium on Memory management , ISMM '08, pp.111-120, 2008.
DOI : 10.1145/1375634.1375651

A. Seznec, Decoupled sectored caches, ISCA '94: Proceedings of the 21st annual International Symposium on Computer Architecture, pp.384-393, 1994.
DOI : 10.1145/192007.192072

R. B. Tremaine, P. A. Franaszek, J. T. Robinson, C. O. Schulz, T. B. Smith et al., IBM Memory Expansion Technology (MXT), IBM Journal of Research and Development, vol.45, issue.2, pp.271-285, 2001.
DOI : 10.1147/rd.452.0271

URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.24.9649

P. R. Wilson, S. F. Kaplan, and Y. Smaragdakis, The case for compressed caching in virtual memory systems, ATEC '99: Proceedings of the annual conference on USENIX Annual Technical Conference, pp.101-116, 1999.

J. Ziv and A. Lempel, A universal algorithm for sequential data compression, IEEE Transactions on Information Theory, vol.23, issue.3, pp.337-343, 1977.
DOI : 10.1109/TIT.1977.1055714