F. Ghenassia, Transaction-Level Modeling with SystemC. TLM Concepts and Applications for Embedded Systems, 2005.

J. Zhu and D. D. Gajski, A retargetable, ultra-fast instruction set simulator, Proceedings of the conference on Design, automation and test in Europe , DATE '99, p.62, 1999.
DOI : 10.1145/307418.307509

URL : http://cadal.cse.nsysu.edu.tw/seminar/seminar_file/2004/1123_ycliu_paper.pdf

C. Helmstetter, V. Joloboff, and H. Xiao, SimSoC: A full system simulation software for embedded systems, 2009 IEEE International Workshop on Open-source Software for Scientific Computation (OSSC), 2009.
DOI : 10.1109/OSSC.2009.5416870

URL : https://hal.archives-ouvertes.fr/inria-00435247

R. Leupers, J. Elste, B. Landwehr, and B. L. , Generation of interpretive and compiled instruction set simulators, Proceedings of the ASP-DAC '99 Asia and South Pacific Design Automation Conference 1999 (Cat. No.99EX198), pp.339-342, 1999.
DOI : 10.1109/ASPDAC.1999.760028

F. Engel, J. Nührenberg, and G. P. Fettweis, A generic tool set for application specific processor architectures, " in Proceedings of the eighth international workshop on Hardware/software codesign, ser. CODES '00, pp.126-130, 2000.

E. C. Schnarr, M. D. Hill, and J. R. Larus, Facile: a language and compiler for high-performance processor simulators, PLDI '01: Proceedings of the ACM SIGPLAN 2001 conference on Programming language design and implementation, pp.321-331, 2001.

W. Qin, S. Rajagopalan, and S. Malik, A formal concurrency model based architecture description language for synthesis of software development tools, ACM SIGPLAN Notices, vol.39, issue.7, pp.47-56, 2004.
DOI : 10.1145/998300.997171

A. Nohl, G. Braun, O. Schliebusch, R. Leupers, H. Meyr et al., A universal technique for fast and flexible instructionset architecture simulation, DAC '02: Proceedings of the 39th conference on Design automation, pp.22-27, 2002.

F. Bellard, Qemu, a fast and portable dynamic translator, ATEC '05: Proceedings of the annual conference on USENIX Annual Technical Conference, pp.41-41, 2005.

M. Reshadi, N. Dutt, and P. Mishra, A retargetable framework for instruction-set architecture simulation, ACM Transactions on Embedded Computing Systems, vol.5, issue.2, pp.431-452, 2006.
DOI : 10.1145/1151074.1151083

J. Zhu and D. D. Gajski, An ultra-fast instruction set simulator, IEEE Trans. Very Large Scale Integr. Syst, vol.10, issue.3, pp.363-373, 2002.

T. Schrijvers, P. Stuckey, and P. Wadler, Monadic constraint programming, Journal of Functional Programming, vol.19, issue.06, pp.663-697, 2009.
DOI : 10.1145/359496.359529

A. C. Fox and M. O. Myreen, A Trustworthy Monadic Formalization of the ARMv7 Instruction Set Architecture, ITP, pp.243-258, 2010.
DOI : 10.1007/978-3-642-14052-5_18