C. Berg, J. Engblom, and R. Wilhelm, Requirements for and design of a processor with predictable timing, Perspectives Workshop: Design of Systems with Predictable Behaviour, number 03471 in Dagstuhl Seminar Proceedings Internationales Begegnungs-und Forschungszentrum für Informatik (IBFI), Schloss Dagstuhl, 2004.

A. Stephen, S. Edwards, E. A. Kim, I. Lee, H. D. Liu et al., A disruptive computer design idea: Architectures with repeatable timing, Proceedings of IEEE International Conference on Computer Design, 2009.

A. Stephen, E. A. Edwards, and . Lee, The case for the precision timed (PRET) machine ACM. exploration of an object caches, DAC '07: Proceedings of the 44th annual conference on Design automation Proceedings of the 8th International Workshop on Java Technologies for Real-time and Embedded Systems, pp.264-265, 2007.

R. Kirner, P. Puschner, and A. Prantl, Transforming flow information during code optimization for timing analysis. Real-Time Systems, pp.72-105, 2010.

C. Lattner and V. S. Adve, LLVM: A compilation framework for lifelong program analysis & transformation, International Symposium on Code Generation and Optimization, 2004. CGO 2004., pp.75-88, 2004.
DOI : 10.1109/CGO.2004.1281665

B. Lickly, I. Liu, S. Kim, H. D. Patel, S. A. Edwards et al., Predictable programming on a precision timed architecture, Proceedings of the 2008 international conference on Compilers, architectures and synthesis for embedded systems, CASES '08, pp.137-146, 2008.
DOI : 10.1145/1450095.1450117

URL : http://academiccommons.columbia.edu/download/fedora_content/download/ac:138906/CONTENT/lickly2008predictable.pdf

P. Lokuciejewski, H. Falk, and P. Marwedel, WCET-driven Cache-based Procedure Positioning Optimizations, 2008 Euromicro Conference on Real-Time Systems, pp.321-330, 2008.
DOI : 10.1109/ECRTS.2008.20

URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.232.2641

J. Mische, I. Guliashvili, S. Uhrig, and T. Ungerer, How to Enhance a Superscalar Processor to Provide Hard Real-Time Capable In-Order SMT, 23rd International Conference on Architecture of Computing Systems, pp.2-14, 2010.
DOI : 10.1007/978-3-642-11950-7_2

C. Pitter, Time-predictable memory arbitration for a Java chip-multiprocessor, Proceedings of the 6th international workshop on Java technologies for real-time and embedded systems, JTRES '08, 2008.
DOI : 10.1145/1434790.1434808

P. Puschner, Experiments with WCET-Oriented Programming and the Single-Path Architecture, 10th IEEE International Workshop on Object-Oriented Real-Time Dependable Systems, 2005.
DOI : 10.1109/WORDS.2005.36

C. Rochange and P. Sainrat, Towards designing WCET-predictable processors, Proceedings of the 3rd International Workshop on Worst-Case Execution Time Analysis, WCET 2003, pp.87-90, 2003.

M. Schoeberl, A time predictable instruction cache for a Java processor Workshop on Java Technologies for Real-Time and Embedded Systems, On the Move to Meaningful Internet Systems, pp.371-382, 2004.

M. Schoeberl, A Java processor architecture for embedded real-time systems, Journal of Systems Architecture, vol.54, issue.1-2, pp.265-286, 2008.
DOI : 10.1016/j.sysarc.2007.06.001

M. Schoeberl, Time-predictable Cache Organization, 2009 Software Technologies for Future Dependable Distributed Systems, pp.11-16, 2009.
DOI : 10.1109/STFSSD.2009.10

URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.142.2810

M. Schoeberl, Time-Predictable Computer Architecture, EURASIP Journal on Embedded Systems, vol.23, issue.4, p.17, 2009.
DOI : 10.1109/40.592314

URL : http://doi.org/10.1155/2009/758480

M. Schoeberl, W. Puffitsch, and B. Huber, Towards Time-Predictable Data Caches for Chip-Multiprocessors, Proceedings of the Seventh IFIP Workshop on Software Technologies for Future Embedded and Ubiquitous Systems (SEUS 2009), number LNCS 5860, pp.180-191, 2009.
DOI : 10.1007/978-3-642-10265-3_17

URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.643.1431

L. Thiele and R. Wilhelm, Design for timing predictability. Real-Time Systems, pp.157-177, 2004.
DOI : 10.1023/b:time.0000045316.66276.6e

J. Whitham, Real-time Processor Architectures for Worst Case Execution Time Reduction, 2008.

J. Whitham and N. Audsley, Time-Predictable Out-of-Order Execution for Hard Real-Time Systems, IEEE Transactions on Computers, vol.59, issue.9, pp.1210-1223, 2010.
DOI : 10.1109/TC.2010.109

R. Wilhelm, D. Grund-reineke, M. Schlickling, M. Pister, and C. Ferdinand, Memory Hierarchies, Pipelines, and Buses for Future Architectures in Time-Critical Embedded Systems, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol.28, issue.7, pp.966-978, 2009.
DOI : 10.1109/TCAD.2009.2013287

W. Zhao, W. Kreahling, D. Whalley, C. Healy, and F. Mueller, Improving WCET by applying worst-case path optimizations. Real-Time Systems, pp.129-152, 2006.
DOI : 10.1007/s11241-006-8643-4

URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.72.3579