V. Adler and E. G. Friedman, Repeater Design to Reduce Delay and Power in Resistive Interconnect, IEEE Transactions on Circuits and Systems II: Analog and Digital Signal Processing, pp.607-616, 1998.

R. G. Beausoleil, J. Ahn, N. Binkert, A. Davis, D. Fattal et al., A Nanophotonic Interconnect for High-Performance Many-Core Computation, Integrated Photonics and Nanophotonics Research and Applications, pp.182-189, 2008.
DOI : 10.1364/IPNRA.2008.ITuD2

J. Mark, J. C. Cianchetti, D. H. Kerekes, and . Albonesi, Phastlane: a Rapid Transit Optical Routing Network, Proceedings of the 36 th International Symposium on Computer Architecture, ISCA, pp.441-450, 2009.

H. Gu, J. Xu, and Z. Wang, A Novel Optical Mesh Networkon-Chip for Gigascale Systems-on-Chip, Proceedings of the IEEE Asia Pacific Conference on Circuits and Systems, pp.1728-1731, 2008.

H. Gu, J. Xu, and W. Zhang, A Low-Power fat Tree-Based Optical Network-on-Chip for Multiprocessor System-on-Chip, Proceedings of the conference on Design, Automation and Test in Europe, DATE, pp.3-8, 2009.

R. Ho, K. W. Mai, and M. A. Horowitz, The future of wires, Proceedings of the IEEE, pp.490-504, 2001.
DOI : 10.1109/5.920580

A. Joshi, C. Batten, Y. Kwon, S. Beamer, I. Shamim et al., Silicon-photonic clos networks for global on-chip communication, 2009 3rd ACM/IEEE International Symposium on Networks-on-Chip, pp.124-133, 2009.
DOI : 10.1109/NOCS.2009.5071460

A. Kazmierczak, M. Briere, E. Drouard, P. Bontoux, P. Rojo-romeo et al., Design, simulation, and characterization of a passive optical add-drop filter in silicon-on-insulator technology, IEEE Photonics Technology Letters, vol.17, issue.7, pp.171447-1449, 2005.
DOI : 10.1109/LPT.2005.848554

N. Kirman, M. Kirman, R. K. Dokania, J. F. Martinez, A. B. Apsel et al., Leveraging Optical Technology in Future Bus-based Chip Multiprocessors, 2006 39th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO'06), pp.492-503, 2006.
DOI : 10.1109/MICRO.2006.28

M. J. Kobrinsky, On-Chip Optical Interconnects, Intel Technology Journal, issue.02, pp.8129-141, 2004.

S. J. Koester, G. Dehlinger, J. D. Schaub, J. O. Chu, Q. C. Ouyang et al., Germanium-on-insulator photodetectors, IEEE International Conference on Group IV Photonics, 2005. @nd, pp.171-173, 2005.
DOI : 10.1109/GROUP4.2005.1516442

J. Liu, J. Psota, N. Beckmann, J. Miller, J. Michel et al., ATAC: A Manycore Processor with On-Chip Optical Network, 2009.

I. Loi, F. Angiolini, and L. Benini, Supporting vertical links for 3D networks-on-chip: toward an automated design and analysis flow, Proceedings of the Second International Conference on Nano-Networks, pp.1-5, 2007.
DOI : 10.4108/ICST.NANONET2007.2033

Y. Massoud, N. Halas, and P. Nordlander, Subwavelength Nanophotonics for Future Interconnects and Architectures. Invited talk, 2008.

D. Miller, Device Requirements for Optical Interconnects to Silicon Chips, Proceedings of the IEEE, pp.1166-1185, 2009.

J. R. Minz, S. Thyagaraja, and S. K. Lim, Optical Routing for 3D System-On-Package, Proceedings of the Design Automation & Test in Europe Conference, pp.337-338, 2006.
DOI : 10.1109/DATE.2006.244180

I. O. Connor, F. Mieyeville, F. Gaffiot, A. Scandurra, and G. Nicolescu, Reduction Methods for Adapting Optical Network on Chip Topologies to Specific Routing Applications, Proceedings of the Design of Circuits and Integrated Systems, 2008.

O. Ian, F. Connor, and . Gaffiot, On-Chip Optical Interconnect for Low-Power, Ultra Low-Power Electronics and Design, pp.21-39, 2004.

Y. Pan, J. Kim, and G. Memik, FlexiShare: Channel sharing for an energy-efficient nanophotonic crossbar, HPCA, 16 2010 The Sixteenth International Symposium on High-Performance Computer Architecture, pp.1-12, 2010.
DOI : 10.1109/HPCA.2010.5416626

Y. Pan, P. Kumar, J. Kim, G. Memik, Y. Zhang et al., Firefly: Illuminating Future Network-on-Chip with Nanophotonics, Proceedings of the 36 th annual International Symposium on Computer Architecture, ISCA, pp.429-440, 2009.

S. Pasricha and N. Dutt, ORB: An on-chip optical ring bus communication architecture for multi-processor systems-on-chip, 2008 Asia and South Pacific Design Automation Conference, pp.789-794, 2008.
DOI : 10.1109/ASPDAC.2008.4484059

J. Psota, J. Miller, G. Kurian, H. Hoffman, N. Beckmann et al., ATAC: Improving performance and programmability with on-chip optical networks, Proceedings of 2010 IEEE International Symposium on Circuits and Systems, pp.3325-3328, 2010.
DOI : 10.1109/ISCAS.2010.5537892

A. Shacham, K. Bergman, and L. P. Carloni, Photonic Networks-on-Chip for Future Generations of Chip Multiprocessors, IEEE Transactions on Computers, vol.57, issue.9, pp.1246-1260, 2008.
DOI : 10.1109/TC.2008.78

D. Vantrease, R. Schreiber, M. Monchiero, M. Mclaren, N. P. Jouppi et al., Corona, Proceedings of the 35 th Annual International Symposium on Computer Architecture, ISCA, pp.153-164, 2008.
DOI : 10.1145/1394608.1382135

L. Zhang, M. Yang, Y. Jiang, E. Regentova, and E. Lu, Generalized wavelength routed optical micronetwork in network-on-chip, Proceedings of the 18 th IASTED international conference on Parallel and Distributed Computing and Systems, PDCS, pp.698-703, 2006.