G. S. Ouedraogo, M. Gautier, and O. Sentieys, Frame-based Modelling for Automatic Synthesis of FPGA-Software Defined Radio, International Conference on Cognitive Radio Oriented Wireless Networks, 2014.

M. Gautier, G. S. Ouedraogo, and O. Sentieys, Design Space Exploration in an FPGA-Based Software Defined Radio, 2014 17th Euromicro Conference on Digital System Design, 2014.
DOI : 10.1109/DSD.2014.44

URL : https://hal.archives-ouvertes.fr/hal-01084781

V. Bhatnagar, G. S. Ouedraogo, M. Gautier, A. Carer, and O. Sentieys, An FPGA Software Defined Radio Platform with a High-Level Synthesis Design Flow, 2013 IEEE 77th Vehicular Technology Conference (VTC Spring), 2013.
DOI : 10.1109/VTCSpring.2013.6691879

URL : https://hal.archives-ouvertes.fr/hal-00833554

M. Gautier, E. Casseau, H. Yviquel, G. S. Ouedraogo, M. Raulet et al., Rapid Prototyping for Video Coding over Flexible Radio Links, Multimedia over Cognitive Radio Networks: Algorithms, Protocols, and Experiments, 2014.
URL : https://hal.archives-ouvertes.fr/hal-01095887

G. S. Ouedraogo, M. Gautier, and O. Sentieys, Description de haut niveau de forme d'ondes pour la radio logicielle sur des architectures reconfigurables, 2013.

G. S. Ouedraogo, M. Gautier, and O. Sentieys, Vers un langage spécialisé pour la radio logicielle sur FPGA

J. Mitola, I. , and G. Maguire-jr, Cognitive radio: making software radios more personal, IEEE Personal Communications, vol.6, issue.4, pp.13-18, 1999.
DOI : 10.1109/98.788210

J. Palicot, De la radio logicielle à la radio intelligente, 2010.
URL : https://hal.archives-ouvertes.fr/hal-00547368

A. Nosratinia, T. Hunter, and A. Hedayat, Cooperative communication in wireless networks, IEEE Communications Magazine, vol.42, issue.10, pp.74-80, 2004.
DOI : 10.1109/MCOM.2004.1341264

L. Xiao, T. Fuja, J. Kliewer, and C. D. , A network coding approach to cooperative diversity, IEEE Transactions on Information Theory, vol.53, issue.10, pp.3714-3722, 2007.
DOI : 10.1109/TIT.2007.904990

S. Jayaweera, Virtual MIMO-based cooperative communication for energy-constrained wireless sensor networks, IEEE Transactions on Wireless Communications, vol.5, issue.5, pp.984-989, 2006.
DOI : 10.1109/TWC.2006.1633350

C. Han, T. Harrold, S. Armour, I. Krikidis, S. Videv et al., Green radio: radio techniques to enable energy-efficient wireless networks, IEEE Communications Magazine, vol.49, issue.6, pp.46-54, 2011.
DOI : 10.1109/MCOM.2011.5783984

I. Mitola and J. , Software radios: Survey, critical evaluation and future directions, IEEE Aerospace and Electronic Systems Magazine, vol.8, issue.4, pp.25-36, 1993.
DOI : 10.1109/62.210638

J. Mitola, The software radio architecture, IEEE Communications Magazine, vol.33, issue.5, pp.26-38, 1995.
DOI : 10.1109/35.393001

G. Kalivas, Digital Radio System Design, 2009.
DOI : 10.1002/9780470748381

A. V. Oppenheim and R. W. Schafer, Discrete-Time Signal Processing, 1989.

R. Woods, J. Mcallister, G. Lightbody, and Y. Yi, FPGA-based Implementation of Signal Processing Systems, 2008.
DOI : 10.1002/9781119079231

C. E. Shannon, A mathematical theory of communication Reprinted with corrections from the Bell System Technical Journal, pp.379-423623, 1948.

H. Nyquist, Certain factors affecting the telegraph speed, Bell System Technical Journal, pp.324-346, 1924.

H. Nyquist, Certain topics in telegraph mission theory, Proc. IEEE, 2002.

J. Zyren and W. Mccoy, Overview of the 3 gpp long term evolution physical layer, 2007.

S. Heath, DSP processor fundamentals: architectures and features, Newnes, 1995.

L. W. Fook, VLIW Microprocessor Hardware Design: On ASIC and FPGA, 2007.

Z. Xuping and P. Jianguo, Energy-detection based spectrum sensing for cognitive radio, IET Conference on Wireless, Mobile and Sensor Networks 2007 (CCWMSN07), pp.944-947, 2007.
DOI : 10.1049/cp:20070306

V. Turunen, M. Kosunen, A. Huttunen, S. Kallioinen, P. Ikonen et al., Implementation of Cyclostationary Feature Detector for Cognitive Radios, 2009 4th International Conference on Cognitive Radio Oriented Wireless Networks and Communications, pp.1-4, 2009.
DOI : 10.1109/CROWNCOM.2009.5188993

D. Noguet, L. Biard, and M. Laugeois, Cyclostationarity Detectors for Cognitive Radio: Architectural Tradeoffs, Cognitive Radio Oriented Wireless Networks and Communications, 2009. CROWNCOM '09. 4th International Conference on, 2010.
DOI : 10.1109/78.317857

M. Gautier, M. Laugeois, and P. Hostiou, Cyclostationarity detection of dvb-t signal: testbed and measurement, International Conference on Advances in Cognitive Radio, 2011.

C. Kuo and J. Wong, Multi-standard DSP based wireless system, ICSP '98. 1998 Fourth International Conference on Signal Processing (Cat. No.98TH8344), pp.1712-1728, 1998.
DOI : 10.1109/ICOSP.1998.770961

S. Gul, C. Moy, and J. Palicot, Two Scenarios of Flexible Multi-Standard Architecture Designs using a Multi-Granularity Exploration, 2007 IEEE 18th International Symposium on Personal, Indoor and Mobile Radio Communications, pp.1-5, 2007.
DOI : 10.1109/PIMRC.2007.4394129

URL : https://hal.archives-ouvertes.fr/hal-00268138

E. Grayver, Implementing Software Defined Radio, 2013.
DOI : 10.1007/978-1-4419-9332-8

R. Walden, Analog-to-digital converter survey and analysis Selected Areas in Communications, IEEE Journal on, vol.17, pp.539-550, 1999.

M. Dardaillon, K. Marquet, T. Risset, and A. Scherrer, Software defined radio architecture survey for cognitive testbeds, 2012 8th International Wireless Communications and Mobile Computing Conference (IWCMC), pp.189-194, 2012.
DOI : 10.1109/IWCMC.2012.6314201

URL : https://hal.archives-ouvertes.fr/hal-00736995

O. Anjum, T. Ahonen, F. Garzia, J. Nurmi, C. Brunelli et al., State of the art baseband DSP platforms for Software Defined Radio: A survey, EURASIP Journal on Wireless Communications and Networking, vol.2011, issue.1, 2011.
DOI : 10.1049/ip-cdt:20030833

B. D. Bougard and D. Verkest, A coarse-grained array accelerator for software-defined radio, IEEE Micro, pp.41-50, 2008.

F. Van-berkel, P. Heinle, K. Meuwissen, M. Moerman, and . Weiss, Vector processing as an enabler for software-defined radio in handled devices, EURASIP Journal on Advances in Signal Processing, pp.2613-2625, 2005.

T. Limberg, M. Winter, M. Bimberg, R. Klemm, E. Matus et al., A fully programmable 40 GOPS SDR single chip baseband for LTE/WiMAX terminals, ESSCIRC 2008, 34th European Solid-State Circuits Conference, pp.466-469, 2008.
DOI : 10.1109/ESSCIRC.2008.4681893

F. Clermidy, R. Lemaire, X. Popon, D. Ktenas, and Y. Thonnart, An Open and Reconfigurable Platform for 4G Telecommunication: Concepts and Application, 2009 12th Euromicro Conference on Digital System Design, Architectures, Methods and Tools, pp.449-456, 2009.
DOI : 10.1109/DSD.2009.200

D. Nussbaum, K. Kalfallah, C. Moy, A. Nafkha, P. Lerary et al., Open Platform for Prototyping of Advanced Software Defined Radio and Cognitive Radio Techniques, 2009 12th Euromicro Conference on Digital System Design, Architectures, Methods and Tools, pp.435-440, 2009.
DOI : 10.1109/DSD.2009.123

URL : https://hal.archives-ouvertes.fr/hal-00401414

G. Jianxin, Y. Xiaohui, G. Jun, and L. Quan, The Software Communication Architecture specification: Evolution and trends, 2009 Asia-Pacific Conference on Computational Intelligence and Industrial Applications (PACIIA), 2009.
DOI : 10.1109/PACIIA.2009.5406588

Y. Lin, R. Mullenix, M. Woh, S. Mahlke, T. Mudge et al., Spex: A programming language for software defined radio, Software Defined Radio Technical Conference and Product Exposition (SDR-Forum 06), 2006.

J. Gonzalez-pina, R. Ameur-boulifa, and R. Pacalet, DiplodocusDF, a Domain-Specific Modelling Language for Software Defined Radio Applications, 2012 38th Euromicro Conference on Software Engineering and Advanced Applications, pp.1-8, 2012.
DOI : 10.1109/SEAA.2012.36

URL : https://hal.archives-ouvertes.fr/hal-00739847

A. Gelonch, X. Revã?s, V. Marojevik, and R. Ferrús, P-hal: a middleware for sdr applications, SDR Forum Technical Conference, 2005.

E. Grayver, H. S. Gree, and J. L. Roberson, SDRPHY - XML description for SDR physical layer, 2010, MILCOM 2010 MILITARY COMMUNICATIONS CONFERENCE, 2010.
DOI : 10.1109/MILCOM.2010.5680098

T. Cooklev and B. Chapter, Standards for Wireless Personal Area Networking (WPAN)
DOI : 10.1002/9781118098837.ch3

U. Pesovic, D. Gliech, P. Planinsiz, Z. Stamenkovic, and S. Randic, Implementation of IEEE 802.15.4 transceiver on software defined radio platform, 2012 20th Telecommunications Forum (TELFOR), pp.376-379, 2012.
DOI : 10.1109/TELFOR.2012.6419226

S. Knauth, Implementation of an ieee 802.15. 4 transceiver with a software-defined radio setup, 2008.

J. Sabater, J. Gomez, and M. Lopez, Towards an IEEE 802.15.4 SDR transceiver, 2010 17th IEEE International Conference on Electronics, Circuits and Systems, pp.323-326, 2010.
DOI : 10.1109/ICECS.2010.5724518

L. Choong, Multi-channel ieee 802.15.4 packet capture using software defined radio, 2009.

. Xilinx, Virtex-5 fpga user guide, UG190 (v5.4), 2012.

C. L. Kiat, Software defined radio design for an ieee 802.11a transceiver using open source software communications architecture (sca) implementation::embedded (ossie), 2006.

B. Bloessl, M. Segata, C. Sommer, and F. Dressler, Towards an Open Source IEEE 802.11p stack: A full SDR-based transceiver in GNU Radio, 2013 IEEE Vehicular Networking Conference, pp.143-149, 2013.
DOI : 10.1109/VNC.2013.6737601

A. Tran, D. Truong, and B. Baas, A complete real-time 802.11a baseband receiver implemented on an array of programmable processors, 2008 42nd Asilomar Conference on Signals, Systems and Computers, pp.165-170, 2008.
DOI : 10.1109/ACSSC.2008.5074384

P. Coulton and D. Carline, An sdr inspired design for the fpga implementation of 802.11a baseband system Consumer Electronics, IEEE International Symposium on, pp.470-475, 2004.

J. P. Roth, Diagnosis of Automata Failures: A Calculus and a Method, IBM Journal of Research and Development, vol.10, issue.4, pp.278-291, 1966.
DOI : 10.1147/rd.104.0278

M. Schulz, E. Trischler, and T. Sarfert, Socrates: a highly efficient automatic test pattern generation system Computer-Aided Design of Integrated Circuits and Systems, IEEE Transactions on, vol.7, pp.126-137, 1988.

J. Darringer, D. Brand, J. V. Gerbi, W. Joyner, and L. Trevillyan, LSS: A system for production logic synthesis, IBM Journal of Research and Development, vol.44, issue.1.2, pp.157-165, 2000.
DOI : 10.1147/rd.441.0157

L. Stok, D. Kung, D. Brand, A. Drumm, A. Sullivan et al., BooleDozer: Logic synthesis for ASICs, IBM Journal of Research and Development, vol.40, issue.4, pp.407-430, 1996.
DOI : 10.1147/rd.404.0407

URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.92.828

G. Martin and G. Smith, High-Level Synthesis: Past, Present, and Future, IEEE Design & Test of Computers, vol.26, issue.4, pp.18-25, 2009.
DOI : 10.1109/MDT.2009.83

J. Cong, B. Liu, S. Neuendorffer, J. Noguera, K. Vissers et al., High-level synthesis for fpgas: From prototyping to deployment Computer-Aided Design of Integrated Circuits and Systems, IEEE Transactions on, vol.30, pp.473-491, 2011.

D. C. Schmidt, Guest Editor's Introduction: Model-Driven Engineering, Computer, vol.39, issue.2, pp.25-31, 2006.
DOI : 10.1109/MC.2006.58

M. Fowler and R. Parsons, Domain-Specific Languages. The Addison-Wisley Signature Series, 2011.

J. Mccarthy, Lisp for share distribution Rails: Web development that doesn't hurt, pp.93-99, 1962.

D. Flanagan and Y. Matsumoto, The Ruby Programming Language. O'Reilly Media, 2008.

E. F. Codd, A relational model of data for large shared data banks, Communications of the ACM, vol.13, issue.6, pp.377-387, 1970.
DOI : 10.1145/362384.362685

O. M. Group, Mof 2.0/xmi mapping, version 2.1.1, 2007.

L. Bettini, Implementing Domain-Speicific Languages with Xtext and Xtend, 2013.

O. M. Group, Unified modeling language (uml) superstructure specification, version 2.3, 2010.

O. M. Group, Meta object facility (mof) core specification, version 2.4 beta 2.0, 2010.

O. M. Group, Mda guide version 1.0.1, 2003.

O. M. Group, Uml profile for marte: Modeling and analysis of real-time embedded systems, version 1.0, 2010.

J. Vidal, F. De-lamotte, G. Gogniat, P. Soulard, and J. Diguet, A co-design approach for embedded system modeling and code generation with uml and marte, " Design, Automation Test in Europe Conference Exhibition, pp.226-231, 2009.

S. Edwards, The Challenges of Synthesizing Hardware from C-Like Languages, IEEE Design & Test of Computers, vol.23, issue.5, pp.375-386, 2006.
DOI : 10.1109/MDT.2006.134

N. Ranganathan, R. Namballa, and N. Hanchate, CHESS: A Comprehensive Tool for CDFG Extraction and Synthesis of Low Power Designs from VHDL, IEEE Computer Society Annual Symposium on Emerging VLSI Technologies and Architectures (ISVLSI'06), 2006.
DOI : 10.1109/ISVLSI.2006.27

Q. Wu, Y. Wang, J. Bian, W. Wu, and H. Xue, A hierarchical cdfg as intermediate representation for hardware/software codesign, Communications, Circuits and Systems and West Sino Expositions, IEEE 2002 International Conference on, pp.1429-1432, 2002.

C. Tseng and D. P. Siewiorek, Automated Synthesis of Data Paths in Digital Systems, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol.5, issue.3, pp.379-395, 1986.
DOI : 10.1109/TCAD.1986.1270207

C. H. Gebotys and M. I. Elmasry, VLSI design synthesis with testability, 25th ACM/IEEE, Design Automation Conference.Proceedings 1988., pp.16-21, 1988.
DOI : 10.1109/DAC.1988.14728

T. L. Adam, K. M. Chandy, and J. R. Dickson, A comparison of list schedules for parallel processing systems, Communications of the ACM, vol.17, issue.12, pp.685-690, 1974.
DOI : 10.1145/361604.361619

K. Wakabayashi, C-based behavioral synthesis and verification analysis on industrial design examples, Proceedings ASPDAC, pp.344-348, 2004.

E. Martin, O. Sentieys, H. Dubois, and J. Philippe, GAUT: An architectural synthesis tool for dedicated signal processors, Proceedings of EURO-DAC 93 and EURO-VHDL 93- European Design Automation Conference, pp.14-19, 1993.
DOI : 10.1109/EURDAC.1993.410610

S. Mccloud, Catapult-c, synthesis-based design flow: speeding implementation and increasing flexibility, 2004.

. Xilinx, Vivado design suite, 2012.

. Cadence, C-to-silicon high-level synthesis tool On line: http://www.cadence.com/ product- s/sd/silicon_compiler

I. Accelerated, Impulse-c high-level synthesis tool On line: http://www

. Altera, Implementing fpga design with the opencl sandard, 2013.

K. Shagrithaya, K. Kepa, and P. Athanas, Enabling development of opencl applications on fpga platforms. Application-Specific Systems, Architectures and Processors (ASAP), pp.26-30, 2013.

G. Economakos, ESL as a Gateway from OpenCL to FPGAs: Basic Ideas and Methodology Evaluation, 2012 16th Panhellenic Conference on Informatics, pp.80-85, 2012.
DOI : 10.1109/PCi.2012.45

S. S. Bhattacharyya, P. K. Murthy, and E. A. Lee, Software Synthesis from Dataflow Graphs, 1996.
DOI : 10.1007/978-1-4613-1389-2

G. Kahn, The semantic of a simple language for parallel programming Information processing, pp.471-475, 1974.

E. A. Lee and D. G. Messerschmitt, Synchronous data flow, Proceedings of the IEEE, pp.24-35, 1987.
DOI : 10.1109/PROC.1987.13876

H. Wu, H. Kee, N. Sane, W. Plishker, and S. Bhattacharyya, Rapid prototyping for digital signal processing systems using Parameterized Synchronous Dataflow graphs, Proceedings of 2010 21st IEEE International Symposium on Rapid System Protyping, pp.1-7, 2010.
DOI : 10.1109/RSP.2010.5656423

J. Eker, J. Janneck, E. A. Lee, J. Liu, X. Liu et al., Taming heterogeneity - the Ptolemy approach, Proceedings of the IEEE, vol.91, issue.1, pp.127-144, 2003.
DOI : 10.1109/JPROC.2002.805829