/. Input and . Output, SchedulingTable : scheduling table 1: for i := 1 to length(Path) do 2: ShiftSize := (i ? 1) * SegmentBufferSize, ShiftSize) 4: ShiftedIntervalList[i] := ShiftLeftIntervals(FreeIntervalList

V. Allan, R. Jones, R. Lee, and S. Allan, Software pipelining, ACM Comput. Surv, vol.27, issue.3, 1995.

M. Alras, P. Caspi, A. Girault, and P. Raymond, Model-Based Design of Embedded Control Systems by Means of a Synchronous Intermediate Model, 2009 International Conference on Embedded Software and Systems, pp.3-10, 2009.
DOI : 10.1109/ICESS.2009.36

P. Amagbégnon, L. Besnard, and P. L. Guernic, Implementation of the data-flow synchronous language signal, Proceedings PLDI'95, 1995.

S. Amarasinghe, M. I. Gordon, M. Karczmarek, J. Lin, D. Maze et al., Language and Compiler Design for Streaming Applications, International Journal of Parallel Programming, vol.19, issue.2, 2005.
DOI : 10.1007/s10766-005-3590-6

C. André, F. Mallet, and M. Peraldi-frati, A multiform time approach to real-time system modeling; Application to an automotive system, 2007 International Symposium on Industrial Embedded Systems, 2007.
DOI : 10.1109/SIES.2007.4297340

C. André, Computing SyncCharts Reactions, Electronic Notes in Theoretical Computer Science, vol.88, 2004.
DOI : 10.1016/j.entcs.2003.05.007

P. Aubry, P. Beaucamps, F. Blanc, B. Bodin, S. Carpov et al., Extended Cyclostatic Dataflow Program Compilation and Execution for an Integrated Manycore Processor, Proceedings ALCHEMY 2013, 2013.
DOI : 10.1016/j.procs.2013.05.330

URL : https://hal.archives-ouvertes.fr/hal-00832504

C. Auger, Compilation certifiée de SCADE/LUSTRE, French. [11] Autosar (automotive open system architecture), release 4, 2009.

Y. Aydi, M. Baklouti, M. Abid, and J. Dekeyser, A multi-level design methodology of multistage interconnection network for MPSOCs, International Journal of Computer Applications in Technology, vol.42, issue.2/3, pp.191-203, 2011.
DOI : 10.1504/IJCAT.2011.045406

URL : https://hal.archives-ouvertes.fr/inria-00563733

J. Ho-bahn, J. Yang, and N. Bagherzadeh, Parallel FFT algorithms on network-on-chips, Proceedings ITNG 2008, 2008.

K. Sanjoy and . Baruah, Dynamic-and static-priority scheduling of recurring real-time tasks. Real-Time Systems, pp.93-128, 2003.

H. Bekker and E. J. Dijkstra, Delay-insensitive synchronization on a message passing architecture with an open collector bus, Proceedings of 4th Euromicro Workshop on Parallel and Distributed Processing, pp.75-79, 1996.
DOI : 10.1109/EMPDP.1996.500571

A. Beno??tbeno??t, V. Rehn-sonigo, and Y. Robert, Multi-criteria scheduling of pipeline workflows, Proceedings of the International Conference on Cluster Computing, 2007.

A. Benveniste, B. Caillaud, and P. L. Guernic, Compositionality in Dataflow Synchronous Languages: Specification and Distributed Code Generation, Information and Computation, vol.163, issue.1, pp.125-171, 2000.
DOI : 10.1006/inco.2000.9999

A. Benveniste, P. Caspi, S. A. Edwards, N. Halbwachs, P. L. Guernic et al., The synchronous languages twelve years later, Proceedings of the IEEE, pp.64-83, 2003.

A. Benveniste and P. L. Guernic, Hybrid dynamical systems theory and the Signal language, IEEE Transactions on Automatic Control, vol.35, issue.5, pp.535-546, 1990.
DOI : 10.1109/9.53519

URL : https://hal.archives-ouvertes.fr/inria-00075715

J. L. Bergerand, P. Caspi, D. Pilaud, N. Halbwachs, and E. Pilaud, Outline of a real time data flow language, Proceedings RTSS, 1985.

G. Berry, S. Moisan, and J. Rigault, Esterel: Towards a synchronous and semantically sound high-level language for real-time applications, Proceedings RTSS, 1983.

G. Bilsen, M. Engels, R. Lauwereins, and J. A. Peperstraete, Cyclo-static data flow, 1995 International Conference on Acoustics, Speech, and Signal Processing, pp.397-408, 1996.
DOI : 10.1109/ICASSP.1995.479579

T. Bjerregaard and J. Sparso, Implementation of guaranteed services in the mango clockless network-on-chip. Computers and Digital Techniques, 2006.

F. Blachot, B. Dupont-de-dinechin, and G. Huard, SCAN: A Heuristic for Near-Optimal Software Pipelining, Euro-Par 2006 Parallel Processing, pp.289-298, 2006.
DOI : 10.1007/11823285_30

J. Blazewicz, Scheduling dependent tasks with different arrival times to meet deadlines The Netherlands, The Netherlands, Proceedings of the International Workshop Organized by the Commision of the European Communities on Modelling and Performance Evaluation of Computer Systems, pp.57-65, 1977.

S. Borkar, Thousand core chips ? a technology perspective, Proceedings DAC, 2007.

O. Bouissou and A. Chapoutot, An operational semantics for Simulink's simulation engine, Proceedings of the 13th ACM SIGPLAN/SIGBED International Conference on Languages, Compilers, Tools and Theory for Embedded Systems, LCTES '12, pp.129-138, 2012.
DOI : 10.1145/2248418.2248437

T. Bourke and M. Pouzet, Z??lus, Proceedings of the 16th international conference on Hybrid systems: computation and control, HSCC '13, pp.113-118, 2013.
DOI : 10.1145/2461328.2461348

V. Brocal, M. Masmano, I. Ripoll, A. Crespo, and P. Balbastre, Xoncrete: a scheduling tool for partitioned real-time systems, In Proceedings ERTS, 2010.

J. T. Buck, S. Ha, E. A. Lee, and D. G. Messerschmitt, Ptolemy: A Framework for Simulating and Prototyping Heterogeneous Systems, International Journal in Computer Simulation, vol.4, issue.2, 1994.
DOI : 10.1016/B978-155860702-6/50048-X

P. Calland, A. Darte, and Y. Robert, Circuit retiming applied to decomposed software pipelining. Parallel and Distributed Systems, IEEE Transactions on, vol.9, issue.1, pp.24-35, 1998.
DOI : 10.1109/71.655240

URL : https://hal.archives-ouvertes.fr/hal-00856850

S. L. Campbell, J. Chancelier, and R. Nikoukhah, Modeling and Simulation in Scilab/Scicos with ScicosLab 4, 2010.
DOI : 10.1007/978-1-4419-5527-2

E. Carara, N. Calazans, and F. Moraes, Router architecture for highperformance nocs, Proceedings SBCCI, 2007.
DOI : 10.1145/1284480.1284515

URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.330.4952

T. Carle, Efficient compilation of embedded control specifications with complex functional and non-functional properties, EDITE, 2014.
URL : https://hal.archives-ouvertes.fr/tel-01088786

T. Carle, M. Djemal, D. Genius, F. Pêcheux, D. Potop-butucaru et al., Reconciling performance and predictability on a many-core through off-line mapping Static mapping of real-time applications onto massively parallel processor arrays, Proceedings of the 9th International Symposium on Reconfigurable and Communication-Centric Systems-on-Chip Proceedings of the 14th International Conference on Application of Concurrency to System Design, 2014.

T. Carle and D. Potop-butucaru, Predicate-aware, makespanpreserving software pipelining of scheduling tables, TACO, vol.11, issue.1, p.12, 2014.
URL : https://hal.archives-ouvertes.fr/hal-01095123

T. Carle, D. Potop-butucaru, Y. Sorel, and D. Lesens, From dataflow specification to multiprocessor partitioned time-triggered real-time implementation, LITES, 2015.
URL : https://hal.archives-ouvertes.fr/hal-00742908

L. Carloni, K. Mcmillan, and A. Sangiovanni-vincentelli, Theory of latency-insensitive design, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol.20, issue.9, p.18, 2001.
DOI : 10.1109/43.945302

P. Caspi, A. Curic, A. Magnan, C. Sofronis, S. Tripakis et al., From Simulink to SCADE/Lustre to TTA: a layered approach for distributed embedded applications, Proceedings LCTES, 2003.

P. Caspi, A. Girault, and D. Pilaud, Automatic distribution of reactive systems for asynchronous networks of processors, IEEE Transactions on Software Engineering, vol.25, issue.3, pp.416-427, 1999.
DOI : 10.1109/32.798329

URL : https://hal.archives-ouvertes.fr/inria-00073196

D. Chabrol and V. David, ChristopheAussagù es, Stéphane Louise, and Frédéric Daumas Deterministic distributed safety-critical real-time systems within the oasis approach, Proceedings IASTED PDCS, pp.260-268, 2005.

D. Chabrol, D. Roux, V. David, and M. Jan, Moha Ait Hmid, Patrice Oudin, and Gilles Zeppa. Time-and angle-triggered realtime kernel, Proceedings DATE, pp.1060-1062, 2013.

D. Chapiro, Globally-Asynchronous Locally-Synchronous Systems, 1984.

K. Chatha and R. Vemuri, Hardware-software partitioning and pipelined scheduling of transformative applications. Very Large Scale Integration (VLSI) Systems, IEEE Transactions on, vol.10, issue.3, pp.193-208, 2002.

C. Chen, J. Sun, and Y. Liu, Formal modeling and validation of Stateflow diagrams, International Journal on Software Tools for Technology Transfer, vol.21, issue.1-2, pp.653-671, 2012.
DOI : 10.1007/s10009-012-0235-0

H. Chetto, M. Silly, and T. Bouchentouf, Dynamic scheduling of real-time tasks under precedence constraints. Real-Time Systems, pp.181-194, 1990.

Y. Chiu, C. Shih, and S. Hung, Pipeline schedule synthesis for real-time streaming tasks with inter/intra-instance precedence constraints, DATE, 2011.

A. Cohen, V. Perrelle, D. Potop-butucaru, E. Soubiran, and Z. Zhang, Mixed-criticality in railway systems: A case study on signaling application, Proceedings WMCIS 2015, 2015.
URL : https://hal.archives-ouvertes.fr/hal-01257243

S. S. Craciunas and R. Oliver, SMT-based Task- and Network-level Static Schedule Generation for Time-Triggered Networked Systems, Proceedings of the 22nd International Conference on Real-Time Networks and Systems, RTNS '14, 2014.
DOI : 10.1145/2659787.2659812

A. Curic, Implementing Lustre programs on distributed platforms with real-time constraints, 2005.

R. I. Davis and A. Burns, A survey of hard real-time scheduling for multiprocessor systems, ACM Computing Surveys, vol.43, issue.4, 2011.
DOI : 10.1145/1978802.1978814

R. De, S. , and C. André, Time modeling in MARTE, Proceedings FDL 2007, pp.268-273, 2007.
URL : https://hal.archives-ouvertes.fr/inria-00204481

V. Diekert and G. Rozenberg, The book of traces, World Scientific, 1995.
DOI : 10.1142/2563

M. Djemal, R. De-simone, F. Pêcheux, F. Wajsbürt, D. Potop-butucaru et al., Programmable routers for efficient mapping of applications onto noc-based mpsocs, Proceedings of the 2012 Conference on Design and Architectures for Signal and Image Processing, 2012.
URL : https://hal.archives-ouvertes.fr/hal-00787497

J. Doyle, B. Francis, and A. Tannenbaum, Feedback Control Theory, 1990.

S. A. Edwards and E. A. Lee, The case for the precision timed (pret) machine, Proceedings of the 44th annual conference on Design automation. SESSION: Wild and crazy ideas (WACI), 2007.

S. A. Edwards, S. Kim, E. A. Lee, I. Liu, H. D. Patel et al., A disruptive computer design idea: Architectures with repeatable timing, 2009 IEEE International Conference on Computer Design, 2009.
DOI : 10.1109/ICCD.2009.5413177

P. Eles, A. Doboli, P. Pop, and Z. Peng, Scheduling with bus access optimization for distributed embedded systems, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol.8, issue.5, pp.472-491, 2000.
DOI : 10.1109/92.894152

J. Howard, A 48-core ia-32 processor in 45nm cmos using on-die message-passing and dvfs for performance and power scaling, IEEE Journal of Solid-State Circuits, vol.46, issue.1, 2011.

M. J. Fischer, N. A. Lynch, and M. S. Paterson, Impossibility of distributed consensus with one faulty process, J. ACM, vol.32, issue.2, 1985.

S. Fischmeister, O. Sokolsky, and I. Lee, Network-Code Machine: Programmable Real-Time Communication Schedules, 12th IEEE Real-Time and Embedded Technology and Applications Symposium (RTAS'06), pp.311-324, 2006.
DOI : 10.1109/RTAS.2006.31

URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.173.974

G. Fohler, Changing operational modes in the context of pre run-time scheduling, 1993.

G. Fohler and K. Ramamritham, Static scheduling of pipelined periodic tasks in distributed real-time systems, Proceedings Ninth Euromicro Workshop on Real Time Systems, pp.128-135, 1995.
DOI : 10.1109/EMWRTS.1997.613773

M. R. Garey and D. S. Johnson, Computers and Intractability: A Guide to the Theory of NP-Completeness, 1979.

F. Gasperoni and U. Schwiegelshohn, Generating close to optimum loop schedules on parallel processors. Parallel Processing Letters, pp.391-404, 1994.

M. Gerdes, F. Kluge, T. Ungerer, C. Rochange, and P. Sainrat, Time analysable synchronisation techniques for parallelised hard real-time applications, 2012 Design, Automation & Test in Europe Conference & Exhibition (DATE), 2012.
DOI : 10.1109/DATE.2012.6176555

J. Goossens, S. Funk, and S. Baruah, Priority-driven scheduling of periodic task systems on multiprocessors. Real-Time Systems, 2003.

K. Goossens, J. Dielissen, and A. Radulescu, AEthereal network on chip: Concepts, architectures, and implementations, IEEE Design & Test of Computers, vol.22, issue.5, 2005.

R. Gorcitz, E. Kofman, T. Carle, D. Potop-butucaru, and R. De-simone, On the Scalability of Constraint Solving for Static/Off-Line Real-Time Scheduling, Proceedings FORMATS 2015, 2015.
DOI : 10.1007/978-3-319-22975-1_8

URL : https://hal.archives-ouvertes.fr/hal-01179489

R. A. Gorcitz, D. Monchaux, T. Carle, D. Potop-butucaru, Y. Sorel et al., Automatic implementation of ttethernet-based time-triggered avionics applications, Proceedings DASIA 2015, 2015.
URL : https://hal.archives-ouvertes.fr/hal-01264687

T. Goubier, R. Sirdey, S. Louise, and V. David, ??C: A Programming Model and Language for Embedded Manycores, Proceedings ICA3PP'11, 2011.
DOI : 10.1007/978-3-642-24650-0_33

R. Govindarajan, E. Altman, and G. Gao, Minimizing register requirements under resource-constrained rate-optimal software pipelining, Proceedings of the 27th annual international symposium on Microarchitecture , MICRO 27, 1994.
DOI : 10.1145/192724.192733

URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.41.2377

T. Grandpierre and Y. Sorel, From algorithm and architecture specifications to automatic generation of distributed real-time executives: a seamless flow of graphs transformations, First ACM and IEEE International Conference on Formal Methods and Models for Co-Design, 2003. MEMOCODE '03. Proceedings., 2003.
DOI : 10.1109/MEMCOD.2003.1210097

J. Paul-le-guernic, J. Pierre-talpin, and L. Lann, POLYCHRONY for System Design, Journal of Circuits, Systems and Computers, vol.12, issue.03, pp.261-304, 2002.
DOI : 10.1142/S0218126603000763

N. Halbwachs, Synchronous Programming of Reactive Systems, 1993.

N. Halbwachs and L. Mandel, Simulation and Verification of Asynchronous Systems by means of a Synchronous Model, Sixth International Conference on Application of Concurrency to System Design (ACSD'06), pp.3-14, 2006.
DOI : 10.1109/ACSD.2006.24

URL : https://hal.archives-ouvertes.fr/hal-00189567

D. Hardy and I. Puaut, Wcet analysis of multi-level non-inclusive setassociative instruction caches, RTSS, 2008.

D. Harel and A. Pnueli, On the Development of Reactive Systems, Logics and Models of Concurrent Systems, pp.477-498, 1985.
DOI : 10.1007/978-3-642-82453-1_17

M. Harrand and Y. Durand, Network on chip with quality of service. United States patent application publication US, 2011.

J. L. Hennessy and D. A. Patterson, Computer Architecture: A Quantitative Approach, 2007.

T. A. Henzinger, B. Horowitz, and C. M. Kirsch, Giotto: a time-triggered language for embedded programming, Proceedings of the IEEE, pp.84-99, 2003.
DOI : 10.1109/JPROC.2002.805825

T. A. Henzinger and C. Kirsch, The embedded machine: Predictable, portable real-time code, ACM Transactions on Programming Languages and Systems, vol.29, issue.6, 2007.

M. Herlihy and N. Shavit, The art of multiprocessor programming, Proceedings of the twenty-fifth annual ACM symposium on Principles of distributed computing , PODC '06, 2008.
DOI : 10.1145/1146381.1146382

C. Hilton and B. Nelson, PNoC: a flexible circuit-switched NoC for FPGA-based systems, IEE Proceedings on Computers and Digital Techniques, 2006.
DOI : 10.1049/ip-cdt:20050175

R. A. Huff, Lifetime-sensitive modulo scheduling, Proc. of the ACM SIGPLAN '93 Conf. on Programming Language Design and Implementation, pp.258-267, 1993.
DOI : 10.1145/173262.155115

URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.54.6852

G. Kahn, The semantics of a simple language for parallel programming, Information processing, pp.471-475, 1974.

H. Kashif, S. Gholamian, R. Pellizzoni, H. D. Patel, and S. Fischmeister, ORTAP: An Offset-based response time analysis for a pipelined communication resource model, 2013 IEEE 19th Real-Time and Embedded Technology and Applications Symposium (RTAS), 2013.
DOI : 10.1109/RTAS.2013.6531097

O. Kermia and Y. Sorel, A rapid heuristic for scheduling non-preemptive dependent periodic tasks onto multiprocessor, Proceedings of ISCA 20th International Conference on Parallel and Distributed Computing Systems, PDCS'07, 2007.
URL : https://hal.archives-ouvertes.fr/inria-00413486

W. Kim, D. Yoo, H. Park, and M. Ahn, SCC based modulo scheduling for coarse-grained reconfigurable processors, 2012 International Conference on Field-Programmable Technology, 2012.
DOI : 10.1109/FPT.2012.6412156

H. Kopetz, Event-triggered versus time-triggered real-time systems, LNCS 563, pp.87-101, 1991.
DOI : 10.1007/BFb0024530

H. Kopetz and G. Bauer, The time-triggered architecture, Proceedings of the IEEE, pp.112-126, 2003.

M. Lam, Software pipelining, Proceedings of the SIGPLAN 88 Conference on Programming Language Design and Implementation, pp.318-328, 1988.
DOI : 10.1145/989393.989420

P. , L. Guernic, and A. Benveniste, Real-time, synchronous, data-flow programming: the language signal and its mathematical semantics, 1987.
URL : https://hal.archives-ouvertes.fr/inria-00076021

C. Leiserson and J. Saxe, Retiming synchronous circuitry, Algorithmica, vol.9, issue.No. 1, pp.5-35, 1991.
DOI : 10.1007/BF01759032

URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.368.3222

C. L. Liu and J. W. Layland, Scheduling algorithms for multiprogramming in a hard real-time environment, Journal of ACM, vol.14, issue.2, pp.46-61, 1973.

S. Louise, M. Lemerre, C. Aussagues, and V. David, The OASIS Kernel: A Framework for High Dependability Real-Time Systems, 2011 IEEE 13th International Symposium on High-Assurance Systems Engineering, 2011.
DOI : 10.1109/HASE.2011.38

Z. Lu and A. Jantsch, TDM Virtual-Circuit Configuration for Network-on-Chip, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol.16, issue.8, 2007.
DOI : 10.1109/TVLSI.2008.2000673

N. Lynch and E. Stark, A proof of the Kahn principle for input/output automata, Information and Computation, vol.82, issue.1, pp.81-92, 1989.
DOI : 10.1016/0890-5401(89)90066-7

M. Marouf, L. George, and Y. Sorel, Schedulability analysis for a combination of non-preemptive strict periodic tasks and preemptive sporadic tasks, Proceedings of 2012 IEEE 17th International Conference on Emerging Technologies & Factory Automation (ETFA 2012), 2012.
DOI : 10.1109/ETFA.2012.6489569

URL : https://hal.archives-ouvertes.fr/hal-00737917

J. F. Mason, K. R. Luecke, and J. A. Luke, Device drivers in time and space partitioned operating systems, 25th Digital Avionics Systems Conference, 2006.

D. Melpignano, L. Benini, E. Flamand, B. Jego, T. Lepley et al., Platform 2012, a many-core computing accelerator for embedded SoCs, Proceedings of the 49th Annual Design Automation Conference on, DAC '12, 2012.
DOI : 10.1145/2228360.2228568

M. Millberg, E. Nilsson, R. Thid, and A. Jantsch, Guaranteed bandwidth using looped containers in temporally disjoint networks within the nostrum network on chip, Proceedings Design, Automation and Test in Europe Conference and Exhibition, 2004.
DOI : 10.1109/DATE.2004.1269001

R. Milner, Communication and Concurrency, 1989.

T. Moscibroda and O. Mutlu, A case for bufferless routing in on-chip networks, Proceedings ISCA-36, 2009.

L. M. Ni and P. K. Mckinley, A survey of wormhole routing techniques in direct networks, Computer, vol.26, issue.2, 1993.
DOI : 10.1109/2.191995

]. B. Nikolic, H. Ali, S. M. Petters, and L. M. Pinho, Are virtual channels the bottleneck of priority-aware wormhole-switched NoC-based many-cores?, Proceedings of the 21st International conference on Real-Time Networks and Systems, RTNS '13, 2013.
DOI : 10.1145/2516821.2516845

C. Pagetti, J. Forget, F. Boniol, M. Cordovilla, and D. Lesens, Multi-task implementation of multi-periodic synchronous programs. Discrete Event Dynamic Systems, pp.307-338, 2011.
URL : https://hal.archives-ouvertes.fr/inria-00638936

I. M. Panades, A. Greiner, and A. Sheibanyrad, A low cost networkon-chip with guaranteed service well suited to the GALS approach, Proceedings NanoNet'06, 2006.

V. Papailiopoulou, D. Potop-butucaru, Y. Sorel, R. De-simone, L. Besnard et al., From design-time concurrency to effective implemen-tation parallelism: The multi-clock reactive case, Proceedings ESLsyn 2011, 2011.

P. Pop, P. Eles, and Z. Peng, Scheduling with optimized communication for time-triggered embedded systems, Proceedings of the seventh international workshop on Hardware/software codesign , CODES '99, 1999.
DOI : 10.1145/301177.303812

D. Potop-butucaru, R. De-simone, and Y. Sorel, Deterministic execution of synchronous programs in an asynchronous environment. a compositional necessary and sufficient condition, 2008.
URL : https://hal.archives-ouvertes.fr/inria-00322563

D. Potop-butucaru, R. D. Simone, and Y. Sorel, From Synchronous Specifications to Statically Scheduled Hard Real-Time Implementations, Synthesis of Embedded Software, pp.978-979, 2010.
DOI : 10.1007/978-1-4419-6400-7_8

URL : https://hal.archives-ouvertes.fr/inria-00495666

D. Potop-butucaru and Y. Sorel, Synchronous approach and scheduling, Real-Time Systems Scheduling, 2014.
URL : https://hal.archives-ouvertes.fr/hal-01096255

D. Potop-butucaru, The Kahn principle for networks of synchronous endochronous programs, Proceedings FMGALS 2003, 2003.

D. Potop-butucaru, A. Azim, and S. Fischmeister, Semantics-preserving implementation of synchronous specifications over dynamic TDMA distributed architectures, Proceedings of the tenth ACM international conference on Embedded software, EMSOFT '10, 2010.
DOI : 10.1145/1879021.1879048

URL : https://hal.archives-ouvertes.fr/inria-00544665

D. Potop-butucaru and B. Caillaud, Correct-by-Construction Asynchronous Implementation of Modular Synchronous Specifications, Fifth International Conference on Application of Concurrency to System Design (ACSD'05), pp.6-9, 2005.
DOI : 10.1109/ACSD.2005.10

D. Potop-butucaru and B. Caillaud, Correct-by-Construction Asynchronous Implementation of Modular Synchronous Specifications, Fifth International Conference on Application of Concurrency to System Design (ACSD'05), pp.131-159, 2007.
DOI : 10.1109/ACSD.2005.10

D. Potop-butucaru, B. Caillaud, and A. Benveniste, Concurrency in synchronous systems, Proccedings of the 4th International Conference on Application of Concurrency to System Design, pp.16-18, 2004.
URL : https://hal.archives-ouvertes.fr/inria-00124252

D. Potop-butucaru, B. Caillaud, and A. Benveniste, Concurrency in Synchronous Systems, Formal Methods in System Design, vol.18, issue.2, pp.111-130, 2006.
DOI : 10.1007/s10703-006-7844-8

URL : https://hal.archives-ouvertes.fr/inria-00124252

D. Potop-butucaru, R. De-simone, and Y. Sorel, Necessary and sufficient conditions for deterministic desynchronization, Proceedings of the 7th ACM & IEEE international conference on Embedded software , EMSOFT '07, 2007.
DOI : 10.1145/1289927.1289950

URL : https://hal.archives-ouvertes.fr/inria-00137885

D. Potop-butucaru, R. De-simone, Y. Sorel, and J. Talpin, Clock-driven distributed real-time implementation of endochronous synchronous programs, Proceedings of the seventh ACM international conference on Embedded software, EMSOFT '09, 2009.
DOI : 10.1145/1629335.1629356

URL : https://hal.archives-ouvertes.fr/inria-00485007

D. Potop-butucaru, R. De-simone, Y. Sorel, and J. Talpin, From Concurrent Multi-clock Programs to Deterministic Asynchronous Implementations, 2009 Ninth International Conference on Application of Concurrency to System Design, pp.1-3, 2009.
DOI : 10.1109/ACSD.2009.23

D. Potop-butucaru and I. Puaut, Integrated worst-case execution time estimation of multicore applications, Proceedings of the 13th International Workshop on Worst-Case Execution Time Analysis, 2013.
URL : https://hal.archives-ouvertes.fr/hal-00909330

D. Potop-butucaru, Y. Sorel, R. De-simone, and J. Talpin, From Concurrent Multi-clock Programs to Deterministic Asynchronous Implementations, 2009 Ninth International Conference on Application of Concurrency to System Design, pp.91-118, 2011.
DOI : 10.1109/ACSD.2009.23

C. Pradalier, J. Hermosillo, C. Koike, C. Braillon, P. Bessì et al., The CyCab: a car-like robot navigating autonomously and safely among pedestrians, Robotics and Autonomous Systems, vol.50, issue.1, 2005.
DOI : 10.1016/j.robot.2004.10.002

URL : https://hal.archives-ouvertes.fr/inria-00182049

W. Puffitsch, E. Noulard, C. Pagetti, and R. Wilhelm, Mapping a multi-rate synchronous language to a many-core processor The worst-case execution-time problem overview of methods and survey of tools, Proceedings RTAS, 2013. [137], 2008.

A. Racu and L. S. Indrusiak, Using genetic algorithms to map hard realtime on noc-based systems, Proceedings ReCoSoC, 2012.

K. Ramamritham, G. Fohler, and J. M. Adan, Issues in the static allocation and scheduling of complex periodic tasks, Proc. 10th IEEE Workshop on Real-Time Operating Systems and Software, 1993.

B. R. Rau, Iterative Modulo Scheduling, International Journal of Parallel Programming, vol.3, issue.3, pp.3-64, 1996.
DOI : 10.1007/BF03356742

B. R. Rau and C. D. Glaeser, Some scheduling techniques and an easily schedulable horizontal architecture for high performance scientific computing, Proceedings of the 14th annual workshop on Microprogramming, 1981.
DOI : 10.1145/1014192.802449

J. Rushby, Bus Architectures for Safety-Critical Embedded Systems, Proceedings EMSOFT'01, 2001.
DOI : 10.1007/3-540-45449-7_22

A. Sheikh, O. Brun, P. Hladik, and B. J. Prabhu, Strictly periodic scheduling in ima-based architectures. Real-Time Systems, pp.359-386, 2012.

Z. Shi and A. Burns, Schedulability analysis and task mapping for realtime on-chip communication. Real-Time Systems, pp.360-385, 2010.

M. Singh and M. Theobald, Generalized latency-insensitive systems for single-clock and multi-clock architectures, Proceedings Design, Automation and Test in Europe Conference and Exhibition, 2004.
DOI : 10.1109/DATE.2004.1269025

M. Smelyanskyi, S. Mahlke, E. Davidson, and H. Lee, Predicate-aware scheduling: a technique for reducing resource constraints, International Symposium on Code Generation and Optimization, 2003. CGO 2003., 2003.
DOI : 10.1109/CGO.2003.1191543

R. B. Sorensen, M. Schoeberl, and J. Sparso, A light-weight statically scheduled network-on-chip, NORCHIP 2012, 2012.
DOI : 10.1109/NORCHP.2012.6403129

M. Vijayaraghavan and A. , Bounded dataflow networks and latencyinsensitive circuits, Proceedings Memocode'09, pp.171-180, 2009.
DOI : 10.1109/memcod.2009.5185393

C. Y. Villalpando, A. E. Johnson, R. Some, J. Oberlin, and S. Goldberg, Investigation of the Tilera processor for real time hazard detection and avoidance on the Altair Lunar Lander, 2010 IEEE Aerospace Conference, 2010.
DOI : 10.1109/AERO.2010.5447023

. Vsi-alliance, VCI: Virtual Component Interface Standard (OCB 2 2.0) Online at

J. Wang and C. Eisenbeis, Decomposed software pipelining, 1993.
URL : https://hal.archives-ouvertes.fr/inria-00074834

N. J. Warter, D. M. Lavery, and W. W. Hwu, The benefit of predicated execution for software pipelining, [1993] Proceedings of the Twenty-sixth Hawaii International Conference on System Sciences, 1993.
DOI : 10.1109/HICSS.1993.283949

R. Wilhelm and J. Reineke, Embedded systems: Many cores — Many problems, 7th IEEE International Symposium on Industrial Embedded Systems (SIES'12), 2012.
DOI : 10.1109/SIES.2012.6356583

J. Xu, Multiprocessor scheduling of processes with release times, deadlines , precedence, and exclusion relations. Software Engineering, IEEE Transactions on, vol.19, issue.2, pp.139-154, 1993.

H. Yang and S. Ha, Pipelined data parallel task mapping/scheduling technique for mpsoc, Design, Automation Test in Europe Conference Exhibition (DATE), 2009.

Y. J. Yoon, N. Concer, M. Petracca, and L. Carloni, Virtual channels vs. multiple physical networks, Proceedings of the 47th Design Automation Conference on, DAC '10, 2010.
DOI : 10.1145/1837274.1837315

H. Yun, J. Kim, and S. Moon, Time optimal software pipelining of loops with control flows, International Journal of Parallel Programming, issue.5, pp.31339-391, 2003.

J. Zalamea, J. Llosa, E. Ayguade, and M. Valero, Register constrained modulo scheduling. Parallel and Distributed Systems, IEEE Transactions on, vol.15, issue.5, pp.417-430, 2004.

J. T. Zhai, M. Bamakhrama, and T. Stefanov, Exploiting just-enough parallelism when mapping streaming applications in hard real-time systems, Proceedings of the 50th Annual Design Automation Conference on, DAC '13, 2013.
DOI : 10.1145/2463209.2488944

W. Zheng, J. Chong, C. Pinello, S. Kanajan, and A. Sangiovanni-vincentelli, Extensible and scalable time triggered scheduling, Fifth International Conference on Application of Concurrency to System Design (ACSD'05), 2005.
DOI : 10.1109/ACSD.2005.13

Q. Zhuge, Z. Shao, and E. H. Sha, Optimal code size reduction for softwarepipelined loops on dsp applications, Proceedings of the International Conference on Parallel Processing, 2002.