Peter Groves & Others. A 65nm C64x+ multi-core DSP platform for communications infrastructure, IEEE International Solid-State Circuits Conference (ISSCC), pp.262-601, 2007. ,
Validity of the single processor approach to achieving large scale computing capabilities, AFIPS Joint Computer Conference, pp.483-485, 1967. ,
Tomahawk : Parallelism and heterogeneity in communications signal processing MPSoCs, ACM Transactions on Embedded Computing Systems (TECS), vol.13, issue.2014, pp.107-111 ,
The landscape of parallel computing research : A view from Berkeley, 2006. ,
Lime : a Java-Compatible and Synthesizable Language for Heterogeneous Architectures, ACM International Conference on Object Oriented Programming Systems Languages and Applications (OOPSLA), pp.89-108, 2010. ,
BPDF : A statically analyzable dataflow model with integer and boolean parameters, Proceedings of the International Conference on Embedded Software (EMSOFT), pp.1-10, 2013. ,
Virtual Machine for Software Defined Radio : Evaluating the Software VM Approach, International Conference on Computer and Information Technology (CIT), pp.1970-1977, 2010. ,
Vector Processing as an Enabler for Software-Defined Radio in Handheld Devices, EURASIP Journal on Advances in Signal Processing, vol.2005, issue.16, pp.2613-2625, 2005. ,
DOI : 10.1155/ASP.2005.2613
Parameterized dataflow modeling for DSP systems, IEEE Transactions on Signal Processing, vol.49, issue.10, pp.2408-2421, 2001. ,
DOI : 10.1109/78.950795
Software synthesis from dataflow graphs, pp.24-29, 1996. ,
Synthesis of embedded software from synchronous dataflow specifications Journal of VLSI signal processing systems for signal, image and video technology, pp.151-166, 1999. ,
OpenDF : a dataflow toolset for reconfigurable hardware and multicore systems, ACM SIGARCH Computer Architecture News, vol.36, issue.5, pp.29-35, 2008. ,
Fast and efficient dataflow graph generation, Proceedings of the 17th International Workshop on Software and Compilers for Embedded Systems, SCOPES '14, pp.40-49, 2014. ,
DOI : 10.1145/2609248.2609258
URL : https://hal.archives-ouvertes.fr/hal-01084899
Buffer minimization in earliestdeadline first scheduling of dataflow graphs, ACM SIGPLAN/SIGBED Conference on Languages, Compilers and Tools for Embedded Systems (LCTES), pp.133-142, 2013. ,
URL : https://hal.archives-ouvertes.fr/hal-00916485
A Coarse-Grained Array Accelerator for Software-Defined Radio Baseband Processing, these.pdf © [M. Dardaillon], [2014], pp.41-50, 2008. ,
DOI : 10.1109/MM.2008.49
Array-OL revisited, multidimensional intensive signal processing specification. Rapport technique, Inria, p.99, 2007. ,
URL : https://hal.archives-ouvertes.fr/inria-00128840
Scheduling dynamic dataflow graphs with bounded memory using the token flow model, IEEE International Conference on Acoustics Speech and Signal Processing, p.25, 1993. ,
DOI : 10.1109/ICASSP.1993.319147
Compiling for reconfigurable computing, ACM Computing Surveys, vol.42, issue.4, pp.1-65, 2010. ,
CorteXlab : A Large Scale Testbed for Physical Layer in Cognitive Radio Networks In European cooperation in the field of scientific and technical research, p.92, 2012. ,
Component-based waveform development: the Nucleus tool flow for efficient and portable software defined radio, Analog Integrated Circuits and Signal Processing, vol.93, issue.2, pp.173-190, 2011. ,
DOI : 10.1007/s10470-011-9670-1
MAPS: Mapping Concurrent Dataflow Applications to Heterogeneous MPSoCs, IEEE Transactions on Industrial Informatics, vol.9, issue.1, pp.527-545, 2013. ,
DOI : 10.1109/TII.2011.2173941
An Open and Reconfigurable Platform for 4G Telecommunication: Concepts and Application, 2009 12th Euromicro Conference on Digital System Design, Architectures, Methods and Tools, pp.449-456, 2009. ,
DOI : 10.1109/DSD.2009.200
A Communication and configuration controller for NoC based reconfigurable data flow architecture, 2009 3rd ACM/IEEE International Symposium on Networks-on-Chip, pp.153-162, 2009. ,
DOI : 10.1109/NOCS.2009.5071463
A 477mW NoC-based digital baseband for MIMO 4G SDR, 2010 IEEE International Solid-State Circuits Conference, (ISSCC), pp.278-279, 2010. ,
DOI : 10.1109/ISSCC.2010.5433920
Processor virtualization and split compilation for heterogeneous multicore embedded systems, Proceedings of the 47th Design Automation Conference on, DAC '10, pp.102-107, 2010. ,
DOI : 10.1145/1837274.1837303
URL : https://hal.archives-ouvertes.fr/inria-00472274
Cognitive Radio Programming : Existing Solutions and Open Issues, p.92, 2013. ,
URL : https://hal.archives-ouvertes.fr/hal-00859467
Compilation d'application data flow paramétrique visant les systèmes sur puces dédiés, Rencontres de la communauté française de compilation, p.101, 2013. ,
Front-End pour compilateur dataflow utilisant l'infrastructure llvm, Journées scientifiques SEmba, p.101, 2013. ,
Machine Virtuelle pour la Radio Cognitive, École d'hiver Francophone sur les Technologies de Conception des Systèmes embarqués Hétérogènes (FETCH), p.102, 2013. ,
Cognitive Radio Programming Survey Handbook of Research on Software-Defined and Cognitive Radio Technologies for Dynamic Spectrum Management, p.92, 2014. ,
A compilation flow for parametric dataflow, Proceedings of the 2014 International Conference on Compilers, Architecture and Synthesis for Embedded Systems, CASES '14, pp.30-95, 2014. ,
DOI : 10.1145/2656106.2656110
URL : https://hal.archives-ouvertes.fr/hal-01048649
Compilation for heterogeneous SoCs : bridging the gap between software and target-specific mechanisms, these.pdf © [M. Dardaillon], [2014], INSA de Lyon Workshop on High Performance Energy Efficient Embedded Systems at the international conference on High Performance and Embedded Architecture and Compilation (HiPEAC), pp.66-95, 2014. ,
URL : https://hal.archives-ouvertes.fr/hal-00936924
Contrôle d'application flot de données pour les systèmes sur puces : étude de cas sur la plateforme Magali, Conférence en Parallélisme, pp.95-102, 2014. ,
Un nouveau flot de compilation pour application flot de données paramétrique, Colloque du GDR SoC-SiP, p.102, 2014. ,
A multidimensional array slicing DSL for Stream Programming, International Conference on Complex, Intelligent and Software Intensive Systems, pp.913-918, 2010. ,
URL : https://hal.archives-ouvertes.fr/hal-00551572
Weijers & Liesbet Van der Perre. A 200Mbps+ 2.14 nJ/b digital baseband multi processor system-on-chip for SDRs, Symposium on VLSI Circuits, pp.292-293, 2009. ,
PiMM: Parameterized and Interfaced dataflow Meta-Model for MPSoCs runtime reconfiguration, 2013 International Conference on Embedded Computer Systems: Architectures, Modeling, and Simulation (SAMOS), pp.41-48, 2013. ,
DOI : 10.1109/SAMOS.2013.6621104
URL : https://hal.archives-ouvertes.fr/hal-00877492
8 GByte/s WideIO memory interface in a 3D-IC NoC-based MPSoC, Symposium on VLSI Technology (VLSIT), pp.22-23, 2013. ,
Communication Patterns : a Novel Modeling Approach for Software Defined Radio Systems, International Conference on Advances in Cognitive Radio (COCORA), pp.35-40, 2014. ,
Dark silicon and the end of multicore scaling, International Symposium on Computer Architecture (ISCA), pp.365-376, 2011. ,
Scalable and Structured Scheduling, International Journal of Parallel Programming, vol.28, issue.6, pp.459-487, 2006. ,
DOI : 10.1007/s10766-006-0011-4
SPDF: A schedulable parametric data-flow MoC, 2012 Design, Automation & Test in Europe Conference & Exhibition (DATE), pp.769-774, 2012. ,
DOI : 10.1109/DATE.2012.6176572
URL : https://hal.archives-ouvertes.fr/hal-00744376
Minimising buffer requirements of synchronous dataflow graphs with model checking, Proceedings of the 42nd annual conference on Design automation , DAC '05, pp.819-848, 2005. ,
DOI : 10.1145/1065579.1065796
The Sandbridge SB3011 Platform, EURASIP Journal on Embedded Systems, vol.19, issue.11, pp.1-16, 2007. ,
DOI : 10.1109/TVLSI.2002.1043339
DiplodocusDF, a Domain-Specific Modelling Language for Software Defined Radio Applications, 2012 38th Euromicro Conference on Software Engineering and Advanced Applications, pp.1-8, 2012. ,
DOI : 10.1109/SEAA.2012.36
URL : https://hal.archives-ouvertes.fr/hal-00739847
Open-source SCA-based core framework and rapid development tools enable software-defined radio education and research, IEEE Communications Magazine, vol.47, issue.10, pp.48-55, 2009. ,
DOI : 10.1109/MCOM.2009.5273808
A portable Video Tool Library for MPEG Reconfigurable Video Coding using LLVM representation, 2010 Conference on Design and Architectures for Signal and Image Processing (DASIP), pp.183-190, 2010. ,
DOI : 10.1109/DASIP.2010.5706263
URL : https://hal.archives-ouvertes.fr/hal-00565298
??C: A Programming Model and Language for Embedded Manycores, International Conference on Algorithms and Architectures for Parallel Processing (ICA3PP), pp.385-394, 2011. ,
DOI : 10.1007/978-3-642-24650-0_33
Exploiting Statically Schedulable Regions in Dataflow Programs, Journal of Signal Processing Systems, vol.55, issue.6, pp.129-142, 2010. ,
DOI : 10.1007/s11265-009-0445-1
URL : https://hal.archives-ouvertes.fr/hal-00398829
Streamware : Programming General-Purpose Multicore Processors Using Streams, these.pdf © [M. Dardaillon] International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS), pp.297-315, 2008. ,
DOI : 10.1109/micro.2005.32
URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.90.1988
Improving program efficiency by packing instructions into registers, International Symposium on Computer Architecture (ISCA), pp.260-271, 2005. ,
DOI : 10.1109/isca.2005.32
URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.98.5324
Integration of GPU Computing in a Software Radio Environment, Journal of Signal Processing Systems, vol.64, issue.3, pp.55-65, 2011. ,
DOI : 10.1007/s11265-011-0639-1
URL : https://hal.archives-ouvertes.fr/hal-01332719
A mixed-mode vectorbased dataflow approach for modeling and simulating LTE physical layer, Design Automation Conference (DAC), pp.18-23, 2010. ,
OpenCL-based design methodology for application-specific processors, Conference on Embedded Computer Systems : Architectures , Modeling and Simulation (SAMOS), pp.223-230, 2010. ,
Heterogeneous vs homogeneous MPSoC approaches for a Mobile LTE modem, 2010 Design, Automation & Test in Europe Conference & Exhibition (DATE 2010), pp.184-189, 2010. ,
DOI : 10.1109/DATE.2010.5457213
URL : https://hal.archives-ouvertes.fr/lirmm-00436680
Advances in dataflow programming languages, ACM Computing Surveys, vol.36, issue.1, pp.1-34, 2004. ,
DOI : 10.1145/1013208.1013209
The semantics of a simple language for parallel programming, Information Processing : Proceedings of the IFIP Congress, pp.471-475, 1974. ,
The stream virtual machine, Proceedings. 13th International Conference on Parallel Architecture and Compilation Techniques, 2004. PACT 2004., pp.267-277, 2004. ,
DOI : 10.1109/PACT.2004.1342560
SPEX : A programming language for software defined radio, SDR Forum Technical Conference, pp.13-17, 2006. ,
Merge : A Programming Model for Heterogeneous Multi-core 109 ,
An efficient technique for analysis of minimal buffer requirements of synchronous dataflow graphs with model checking, Proceedings of the 7th IEEE/ACM international conference on Hardware/software codesign and system synthesis, CODES+ISSS '09, pp.61-70, 2009. ,
DOI : 10.1145/1629435.1629445
Etude et développement d'outils de mapping d'applications sur des architectures réseau sur puce (NoC) Master's thesis, p.100, 2011. ,
comC : a NoC Communication Compiler, Workshop on Hardware Dependent Software Solutions for SoC Design at the international conference on Design, p.62, 2011. ,
Code generation for an applicationspecific VLIW processor with clustered, addressable register files, Proceedings of the 10 th Workshop on Optimizations for DSP and Embedded Systems (ODES), pp.11-19, 2013. ,
URL : https://hal.archives-ouvertes.fr/hal-00911896
XiSystem: A XiRisc-Based SoC With Reconfigurable IO Module, IEEE Journal of Solid-State Circuits, vol.41, issue.1, pp.85-96, 2006. ,
DOI : 10.1109/JSSC.2005.859319
A LLVM Extension for the Generation of Low Overhead Runtime Program Specializer, Proceedings of International Workshop on Adaptive Self-tuning Computing Systems, ADAPT '14, p.75, 2014. ,
DOI : 10.1145/2553062.2553064
actor-oriented language for the design of complex signal processing systems, Conference on Design and Architectures for Signal and Image Processing (DASIP), pp.168-175, 2008. ,
PinaVM, Proceedings of the tenth ACM international conference on Embedded software, EMSOFT '10, pp.79-88, 2010. ,
DOI : 10.1145/1879021.1879032
URL : https://hal.archives-ouvertes.fr/hal-00495874
A Microprogrammable Memory Controller for high-performance dataflow applications, 2009 Proceedings of ESSCIRC, pp.348-351, 2009. ,
DOI : 10.1109/ESSCIRC.2009.5325981
DRESC : A retargetable compiler for coarse-grained reconfigurable architectures, IEEE International Conference on Field- Programmable Technology (FPT), pp.166-173, 2002. ,
KUAR: A Flexible Software-Defined Radio Development Platform, 2007 2nd IEEE International Symposium on New Frontiers in Dynamic Spectrum Access Networks, pp.428-439, 2007. ,
DOI : 10.1109/DYSPAN.2007.62
Software Radios Survey, Critical Evaluation and Future Directions, National Telesystems Conference, pp.13-15, 1992. ,
DOI : 10.1109/ntc.1992.267870
20 ans de radio logicielle, quelles réalités ? Revue de l'électricité et de l'électronique, pp.70-80 ,
Abstract Description of System Application and Hardware Architecture for Hardware/Software Code Generation, 12th Euromicro Conference on Digital System Design , Architectures, Methods and Tools (DSD), pp.567-574, 2009. ,
SystemC -A modeling platform supporting multiple design, Proceedings of the 14 th International Symposium on Systems Synthesis (ISSS), pp.75-80, 2001. ,
Petri Nets, ACM Computing Surveys, vol.9, issue.3, pp.223-252, 1977. ,
DOI : 10.1145/356698.356702
OpenStream : Expressiveness and dataflow compilation of OpenMP streaming programs, ACM Transactions on Architecture and Code Optimization, vol.9, issue.4, pp.53-98, 2013. ,
URL : https://hal.archives-ouvertes.fr/hal-00786675
Filter fusion, Proceedings of the 23 rd ACM SIGPLAN-SIGACT symposium on Principles of programming languages (POPL), pp.119-130, 1996. ,
Software defined baseband processing for 3G base stations, Fourth International Conference on 3G Mobile Communication Technologies, pp.123-127, 2003. ,
DOI : 10.1049/cp:20030350
Architecture and implementation of a Software-Defined Radio baseband processor, 2011 IEEE International Symposium of Circuits and Systems (ISCAS), pp.2193-2196, 2011. ,
DOI : 10.1109/ISCAS.2011.5938035
Flexible front-end processing for software defined radio applications using application specific instruction-set processors, Conference on Design and Architectures for Signal and Image Processing (DASIP), pp.1-8, 2012. ,
A Low-Power Multithreaded Processor for Baseband Communication Systems, Computer Systems : Architectures, Modeling, and Simulation LNCS, vol.3133, pp.393-402, 2004. ,
DOI : 10.1007/978-3-540-27776-7_41
Spectrum Leasing to Cooperating Secondary Ad Hoc Networks, IEEE Journal on Selected Areas in Communications, vol.26, issue.1, pp.203-213, 2008. ,
DOI : 10.1109/JSAC.2008.080118
URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.332.4923
Mapping on multi/many-core systems : survey of current and emerging trends, Proceedings of the 50th Annual Design Automation Conference (DAC), pp.1-10, 2013. ,
Iris : an architecture for cognitive radio networking testbeds, IEEE Communications Magazine, vol.48, issue.9, pp.114-122, 2010. ,
Sora, Communications of the ACM, vol.54, issue.1, pp.99-107, 2011. ,
DOI : 10.1145/1866739.1866760
A scenario-aware data flow model for combined long-run average and worst-case performance analysis, Fourth ACM and IEEE International Conference on Formal Methods and Models for Co-Design, 2006. MEMOCODE '06. Proceedings., pp.185-194, 2006. ,
DOI : 10.1109/MEMCOD.2006.1695924
StreamIt: A Language for Streaming Applications, Compiler Construction Lecture Notes in Computer Science, vol.2304, pp.179-196, 2002. ,
DOI : 10.1007/3-540-45937-5_14
Language and Compiler Support for Stream Programs, Massachusetts Institute of Technology, pp.18-66, 2009. ,
A 167-Processor Computational Platform in 65 nm CMOS, IEEE Journal of Solid-State Circuits, vol.44, issue.4, pp.1130-1144, 2009. ,
Software Defined Radio: Challenges and Opportunities, IEEE Communications Surveys & Tutorials, vol.12, issue.4, pp.531-550, 2010. ,
DOI : 10.1109/SURV.2010.032910.00019
URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.475.2813
EXOCHI : architecture and programming environment for a heterogeneous multi-core multithreaded system, ACM SIGPLAN conference on Programming language design and implementation (PLDI), pp.156-173, 2007. ,
SODA : A Low-power Architecture For Software Radio, 33rd International Symposium on Computer Architecture (ISCA), pp.89-101, 2006. ,
The Next Generation Challenge for Software Defined Radio, Embedded Computer Systems : Architecture, Modeling, and Simulation, pp.343-354, 2007. ,
DOI : 10.1007/978-3-540-73625-7_36
Mladen Wilder & Krisztian Flautner. From SODA to scotch : The evolution of a wireless baseband processor, 41st IEEE/ACM International Symposium on Microarchitecture (MICRO), pp.152-163, 2008. ,
Cognitive Radio baseband processing on a reconfigurable platform, Physical Communication, vol.2, issue.1-2, pp.33-46, 2009. ,
DOI : 10.1016/j.phycom.2009.02.008
Overview of the 3GPP long term evolution physical layer. Rapport technique, p.79, 2007. ,