R. Bhudhwani, R. Ragavan, and O. Sentieys, Taking advantage of correlation in stochastic computing, 2017 IEEE International Symposium on Circuits and Systems (ISCAS), pp.1-4, 2017.
DOI : 10.1109/ISCAS.2017.8050807

R. Ragavan, B. Barrois, C. Killian, and O. Sentieys, Pushing the limits of voltage over-scaling for error-resilient applications, Design, Automation & Test in Europe Conference & Exhibition (DATE), 2017, pp.476-481, 2017.
DOI : 10.23919/DATE.2017.7927036

URL : https://hal.archives-ouvertes.fr/hal-01417665

R. Ragavan, C. Killian, and O. Sentieys, Low complexity on-chip distributed DC-DC converter for low power WSN nodes, 2015 IEEE 13th International New Circuits and Systems Conference (NEWCAS), pp.1-4, 2015.
DOI : 10.1109/NEWCAS.2015.7182118

URL : https://hal.archives-ouvertes.fr/hal-01196987

R. Ragavan, C. Killian, and O. Sentieys, Adaptive Overclocking and Error Correction Based on Dynamic Speculation Window, 2016 IEEE Computer Society Annual Symposium on VLSI (ISVLSI), pp.325-330, 2016.
DOI : 10.1109/ISVLSI.2016.13

URL : https://hal.archives-ouvertes.fr/hal-01416945

V. and =. ±2v, The efficiency can be further improved to 82% with BER of 20% at V dd =

T. Kuroda and K. Suzuki, Variable supply-voltage scheme for low-power high-speed CMOS digital design, IEEE Journal of Solid-State Circuits, vol.33, issue.3, pp.454-462, 1998.
DOI : 10.1109/4.661211

URL : http://www.ece.ncsu.edu/asic/ece733/papers/LowPower/DualSupply98.pdf

E. L. Sueur and G. Heiser, Dynamic voltage and frequency scaling: The laws of diminishing returns, Proc. of the 2010 Intl. Conf. on Power Aware Computing and Systems, pp.1-8, 2010.

E. Stott, Z. Guan, J. M. Levine, S. Justin, . Wong et al., Variation and Reliability in FPGAs, IEEE Design & Test, vol.30, issue.6, pp.50-59, 2013.
DOI : 10.1109/MDAT.2013.2266652

M. Nicolaidis, Double-Sampling Design Paradigm—A Compendium of Architectures, IEEE Transactions on Device and Materials Reliability, vol.15, issue.1, pp.10-23, 2015.
DOI : 10.1109/TDMR.2014.2388358

E. Stott, J. M. Levine, Y. Peter, N. Cheung, and . Kapre, Timing Fault Detection in FPGA-Based Circuits, 2014 IEEE 22nd Annual International Symposium on Field-Programmable Custom Computing Machines, pp.96-99
DOI : 10.1109/FCCM.2014.32

A. Brant, A. Abdelhadi, H. Douglas, . Sim, M. X. Shao-lin-tang et al., Safe Overclocking of Tightly Coupled CGRAs and Processor Arrays using Razor, 2013 IEEE 21st Annual International Symposium on Field-Programmable Custom Computing Machines, pp.37-44, 2013.
DOI : 10.1109/FCCM.2013.63

URL : http://www.ece.ubc.ca/~lemieux/publications/brant-fccm2013.pdf

M. Joshua, E. Levine, G. Stott, . Constantinides, Y. Peter et al., Online measurement of timing in circuits: For health monitoring and dynamic voltage & frequency scaling, IEEE 20th Annual International Symposium on Field-Programmable Custom Computing Machines (FCCM), pp.109-116, 2012.

A. Joseph, P. Fisher, C. Faraboschi, and . Young, Embedded computing: a VLIW approach to architecture, compilers and tools, 2005.

E. Gordon and . Moore, Cramming more components onto integrated circuits, Electronics, vol.38, issue.8, pp.114-117, 1965.

N. Zaidi-haron and S. Hamdioui, Why is CMOS scaling coming to an end? In International Design and Test Workshop, pp.98-103, 2008.

M. Wirnshofer, Sources of variation In Variation Aware Adaptive Voltage Scaling For Digital CMOS Circuits, Springer series in advanced microelectronics, 2013.

J. Chang and M. Pedram, Low power design In Power optimization and synthesis at behavioral and system levels using formal methods, chapter 1, 2012.
DOI : 10.1007/978-1-4615-5199-7

A. Dasdan and I. Hom, Handling inverted temperature dependence in static timing analysis, ACM Transactions on Design Automation of Electronic Systems, vol.11, issue.2, pp.306-324, 2006.
DOI : 10.1145/1142155.1142158

URL : http://ziyang.eecs.umich.edu/~dickrp/talp/papers/dasdan-temperature.pdf

D. Suleiman, I. Ibrahim, and . Hamarash, Dynamic voltage frequency scaling (DVFS) for microprocessors power and energy reduction, International Conference on Electrical and Electronics Engineering, 2005.

. Tain, A fine-grain variation-aware dynamic vdd-hopping AVFS architecture on a 32 nm GALS MPSoC, IEEE Journal of Solid-State Circuits, vol.49, issue.7, pp.1475-1486, 2014.

R. Wilson, E. Beigne, P. Flatresse, A. Valentian, F. Abouzeid et al., A 460MHz at 397mV, 2.6 GHz at 1.3 V, 32b VLIW DSP, embedding fmax tracking, IEEE Intl. Solid-State Circuits Conf. Digest of Technical Papers (ISSCC), pp.452-453, 2014.
DOI : 10.1109/isscc.2014.6757509

D. Rossi, A. Pullini, I. Loi, M. Gautschi, F. K. Gurkaynak et al., Ivan Miro-Panades, and Edith Beignè. 193 MOPS/mW@ 162 MOPS, 0.32 V to 1.15 V voltage range multi-core accelerator for energy efficient parallel and sequential digital processing, IEEE Symposium in Low-Power and High-Speed Chips (COOL CHIPS XIX), pp.1-3

G. Ronald, M. Dreslinski, D. Wieckowski, D. Blaauw, T. Sylvester et al., Near-threshold computing: Reclaiming moore's law through energy efficient integrated circuits, Proceedings of the IEEE, pp.253-266, 2010.

H. Benton, D. Calhoun, and . Brooks, Can subthreshold and near-threshold circuits go mainstream?, IEEE Micro, vol.30, issue.4, pp.80-85, 2010.

R. Ulya, K. B. Karpuzcu, N. S. Kolluru, J. Kim, and . Torrellas, VARIUS-NTV: A microarchitectural model to capture the increased sensitivity of manycores to process variations at near-threshold voltages, IEEE/IFIP International Conference on Dependable Systems and Networks (DSN), pp.1-11, 2012.

D. Blaauw and B. Zhai, Energy Efficient Design for Subthreshold Supply Voltage Operation, 2006 IEEE International Symposium on Circuits and Systems, p.4, 2006.
DOI : 10.1109/ISCAS.2006.1692514

K. Cheng, . Khakifirooz, . Kulkarni, . Ponoth, . Kuss et al., Extremely thin SOI (ETSOI) CMOS with record low variability for low power system-on-chip applications, 2009 IEEE International Electron Devices Meeting (IEDM), pp.1-4, 2009.
DOI : 10.1109/IEDM.2009.5424422

J. Noel, C. Thomas, M. Fenouillet-beranger, . Jaud, A. Scheiblin et al., A simple and efficient concept for setting up multi-VT devices in thin BOX fullydepleted SOI technology, Proceedings of the European Solid State Device Research Conference (ESSDERC), pp.137-140, 2009.

E. Beigné, A. Valentian, B. Giraud, O. Thomas, T. Benoist et al., Ultra-Wide Voltage Range Designs in Fully-Depleted Silicon-On-Insulator FETs, Design, Automation & Test in Europe Conference & Exhibition (DATE), 2013, pp.613-618, 2013.
DOI : 10.7873/DATE.2013.135

B. Pelloux-prayer, A. Valentian, B. Giraud, Y. Thonnart, J. Noel et al., Fine grain multi-VT co-integration methodology in UTBB FD-SOI technology, IFIP/IEEE 21st International Conference on Very Large Scale Integration (VLSI-SoC), pp.168-173, 2013.
DOI : 10.1109/vlsi-soc.2013.6673270

Y. Akgul, D. Puschini, S. Lesecq, E. Beigné, I. Miro-panades et al., Power management through DVFS and dynamic body biasing in FD-SOI circuits, Proceedings of the 51st Annual Design Automation Conference, pp.1-6, 2014.
DOI : 10.1109/dac.2014.6881510

URL : https://hal.archives-ouvertes.fr/lirmm-01421009

L. Gwennap, FD-SOI offers alternative to FINFET, 2016.

R. Taco, I. Levi, A. Fish, and M. Lanuzza, Exploring back biasing opportunities in 28nm UTBB FD-SOI technology for subthreshold digital design, 2014 IEEE 28th Convention of Electrical & Electronics Engineers in Israel (IEEEI)
DOI : 10.1109/EEEI.2014.7005822

A. Rahimi, L. Benini, K. Rajesh, and . Gupta, Variability Mitigation in Nanometer CMOS Integrated Systems: A Survey of Techniques From Circuits to Software, Proceedings of the IEEE, pp.1410-1448, 2016.
DOI : 10.1109/JPROC.2016.2518864

H. Benton, . Calhoun, P. Anantha, and . Chandrakasan, Standby power reduction using dynamic voltage scaling and canary flip-flop structures, IEEE Journal of Solid-State Circuits Bibliography, vol.39, issue.158, pp.1504-1511, 2004.

D. Ernst, S. Das, S. Lee, D. Blaauw, T. Austin et al., Razor: circuit-level correction of timing errors for low-power operation, IEEE Micro, vol.24, issue.6, 2004.
DOI : 10.1109/MM.2004.85

Y. Kunitake, T. Sato, H. Yasuura, and T. Hayashida, Possibilities to miss predicting timing errors in canary flip-flops, 2011 IEEE 54th International Midwest Symposium on Circuits and Systems (MWSCAS), pp.1-4, 2011.
DOI : 10.1109/MWSCAS.2011.6026656

S. Das, C. Tokunaga, S. Pant, W. Ma, S. Kalaiselvan et al., RazorII: In Situ Error Detection and Correction for PVT and SER Tolerance, IEEE Journal of Solid-State Circuits, vol.44, issue.1, pp.32-48, 2009.
DOI : 10.1109/JSSC.2008.2007145

M. Fojtik, D. Fick, Y. Kim, N. Pinckney, D. M. Harris et al., Bubble Razor: Eliminating Timing Margins in an ARM Cortex-M3 Processor in 45 nm CMOS Using Architecturally Independent Error Detection and Correction, IEEE Journal of Solid-State Circuits, vol.48, issue.1, pp.66-81, 2013.
DOI : 10.1109/JSSC.2012.2220912

M. Nicolaidis, GRAAL: a new fault tolerant design paradigm for mitigating the flaws of deep nanometric technologies, 2007 IEEE International Test Conference, pp.1-10, 2007.
DOI : 10.1109/TEST.2007.4437666

URL : https://hal.archives-ouvertes.fr/hal-00226406

R. Baumann, Soft Errors in Advanced Computer Systems, IEEE Design and Test of Computers, vol.22, issue.3, pp.258-266, 2005.
DOI : 10.1109/MDT.2005.69

T. Schweizer, A. Küster, S. Eisenhardt, T. Kuhn, and W. Rosenstiel, Using Run-Time Reconfiguration to Implement Fault-Tolerant Coarse Grained Reconfigurable Architectures, 2012 IEEE 26th International Parallel and Distributed Processing Symposium Workshops & PhD Forum, pp.320-327, 2012.
DOI : 10.1109/IPDPSW.2012.39

T. Schweizer, P. Schlicker, S. Eisenhardt, T. Kuhn, and W. Rosenstiel, Low-Cost TMR for Fault-Tolerance on Coarse-Grained Reconfigurable Architectures, 2011 International Conference on Reconfigurable Computing and FPGAs, pp.135-140, 2011.
DOI : 10.1109/ReConFig.2011.57

T. Schweizer, W. Rosenstiel, L. V. Ferreira, and M. Ritt, Timing error handling on CGRAs, 2013 International Conference on Reconfigurable Computing and FPGAs (ReConFig), pp.1-6
DOI : 10.1109/ReConFig.2013.6732333

S. Mitra, R. Nirmal, . Saxena, J. Edward, and . Mccluskey, A design diversity metric and analysis of redundant systems, IEEE Transactions on Computers, vol.51, issue.5, pp.498-510, 2002.
DOI : 10.1109/TC.2002.1004589

P. Reviriego, C. J. Bleakley, and J. A. Maestro, Diverse Double Modular Redundancy: A New Direction for Soft-Error Detection and Correction, IEEE Design & Test, vol.30, issue.2, pp.87-95, 2013.
DOI : 10.1109/MDT.2012.2232964

P. Reviriego, C. J. Bleakley, and J. A. Maestro, Structural DMR: A Technique for Implementation of Soft-Error-Tolerant FIR Filters, IEEE Transactions on Circuits and Systems II: Express Briefs, vol.58, issue.8, pp.58512-516, 2011.
DOI : 10.1109/TCSII.2011.2158750

J. Kim and S. Tiwari, Inexact computing for ultra low-power nanometer digital circuit design, IEEE/ACM International Symposium on Nanoscale Architectures, pp.24-31, 2011.

M. Schaffner, K. Frank, A. Gurkaynak, H. Smolic, L. Kaeslin et al., An Approximate Computing Technique for Reducing the Complexity of a Direct-Solver for Sparse Linear Systems in Real-Time Video Processing, Proceedings of the The 51st Annual Design Automation Conference on Design Automation Conference, DAC '14, pp.1-6, 2014.
DOI : 10.1145/2593069.2593082

J. Han and M. Orshansky, Approximate computing: An emerging paradigm for energy-efficient design, 2013 18TH IEEE EUROPEAN TEST SYMPOSIUM (ETS), pp.1-6, 2013.
DOI : 10.1109/ETS.2013.6569370

G. Tziantzioulis and A. Gok, Lazy Pipelines: Enhancing Quality in Approximate Computing, Proceedings of the 2016 Design, Automation & Test in Europe Conference & Exhibition (DATE), pp.1381-1386, 2016.
DOI : 10.3850/9783981537079_0673

A. Yazdanbakhsh, D. Mahajan, and B. Thwaites, Axilog: Language Support for Approximate Hardware Design, Design, Automation & Test in Europe Conference & Exhibition (DATE), 2015, pp.812-817, 2015.
DOI : 10.7873/DATE.2015.0513

M. Nicolaidis, Time redundancy based soft-error tolerance to rescue nanometer technologies, Proceedings 17th IEEE VLSI Test Symposium (Cat. No.PR00146), pp.86-94, 1999.
DOI : 10.1109/VTEST.1999.766651

URL : https://hal.archives-ouvertes.fr/hal-00013764

S. Justin, P. Wong, . Sedcole, Y. Peter, and . Cheung, Self-measurement of combinatorial circuit delays in FPGAs, ACM Trans. on Reconfigurable Technology and Systems (TRETS), vol.2, issue.2, p.10, 2009.

P. Sedcole, S. Justin, . Wong, Y. Peter, and . Cheung, Characterisation of FPGA Clock Variability, 2008 IEEE Computer Society Annual Symposium on VLSI, pp.322-328, 2008.
DOI : 10.1109/ISVLSI.2008.48

URL : http://cas.ee.ic.ac.uk/people/nps/papers/sedcole08isvlsi.pdf

. Xilinx, VC707 Evaluation Board for the Virtex-7 FPGA User Guide, v1, 2015.

A. Benhassain, . Cacho, . Huard, . Mhira, C. Anghel et al., Robustness of timing in-situ monitors for AVS management, 2016 IEEE International Reliability Physics Symposium (IRPS), p.4, 2016.
DOI : 10.1109/IRPS.2016.7574593

URL : https://hal.archives-ouvertes.fr/hal-01474794

A. Benhassain, . Mhira, . Cacho, and . Huard, In-situ slack monitors: taking up the challenge of on-die monitoring of variability and reliability, 2016 1st IEEE International Verification and Security Workshop (IVSW), pp.1-5, 2016.
DOI : 10.1109/IVSW.2016.7566606

URL : https://hal.archives-ouvertes.fr/hal-01474807

L. Soares and S. Bampi, Near-threshold computing for very wide frequency scaling: Approximate adders to rescue performance, 2015 IEEE 13th International New Circuits and Systems Conference (NEWCAS), pp.1-4, 2015.
DOI : 10.1109/NEWCAS.2015.7182030

Y. Kim, S. Venkataramani, K. Roy, and A. Raghunathan, Designing approximate circuits using clock overgating, Proceedings of the 53rd Annual Design Automation Conference on, DAC '16, p.15, 2016.
DOI : 10.1145/2744769.2744863

URL : http://dl.acm.org/ft_gateway.cfm?id=2898005&type=pdf

I. Akturk, N. S. Kim, R. Ulya, and . Karpuzcu, Decoupled Control and Data Processing for Approximate Near-Threshold Voltage Computing, IEEE Micro, vol.35, issue.4, pp.70-78, 2015.
DOI : 10.1109/MM.2015.85

C. Li, W. Luo, S. Sachin, J. Sapatnekar, and . Hu, Joint precision optimization and high level synthesis for approximate computing, Proceedings of the 52nd Annual Design Automation Conference on, DAC '15, p.104, 2015.
DOI : 10.1109/TCAD.2006.873887

A. Lingamneni and C. Enz, Energy parsimonious circuit design through probabilistic pruning, 2011 Design, Automation & Test in Europe, pp.1-6
DOI : 10.1109/DATE.2011.5763130

J. Schlachter, V. Camus, and C. Enz, Near/Sub-Threshold Circuits and Approximate Computing: The Perfect Combination for Ultra-Low-Power Systems, 2015 IEEE Computer Society Annual Symposium on VLSI
DOI : 10.1109/ISVLSI.2015.41

URL : https://infoscience.epfl.ch/record/213495/files/ISVLSI_paper.pdf

A. Lingamneni, C. Enz, K. Palem, and C. Piguet, Designing Energy-Efficient Arithmetic Operators Using Inexact Computing, Journal of Low Power Electronics, vol.9, issue.1, pp.141-153, 2013.
DOI : 10.1166/jolpe.2013.1249

W. Neil and H. , CMOS VLSI Design: A Circuits And Systems Perspective, 2006.

B. Andrew, S. Kahng, and . Kang, Accuracy-configurable adder for approximate arithmetic designs, Proc. of the Annual Design Automation Conference, pp.820-825, 2012.

R. Ragavan, C. Killian, and O. Sentieys, Adaptive Overclocking and Error Correction Based on Dynamic Speculation Window, 2016 IEEE Computer Society Annual Symposium on VLSI (ISVLSI), pp.325-330, 2016.
DOI : 10.1109/ISVLSI.2016.13

URL : https://hal.archives-ouvertes.fr/hal-01416945

S. Wong, T. Van-as, and G. Brown, ?-VEX: A reconfigurable and extensible softcore VLIW processor, International Conference on Electrical and Computer Engineering (ICECE), pp.369-372, 2008.
DOI : 10.1109/fpt.2008.4762420

A. Brandon and S. Wong, Support for Dynamic Issue Width in VLIW Processors Using Generic Binaries, Design, Automation & Test in Europe Conference & Exhibition (DATE), 2013, pp.827-832, 2013.
DOI : 10.7873/DATE.2013.175

A. Dehghani, A. Dunne, D. Moloney, and O. Deniz, Application processor description, 2016.

T. Van-as, ?-VEX: A reconfigurable and extensible softcore VLIW processor, 2008.

H. Laboratories, VEX toolchain, HP, 2011.

C. Chakrabarti and D. Gaitonde, Instruction level power model of microcontrollers, ISCAS'99. Proceedings of the 1999 IEEE International Symposium on Circuits and Systems VLSI (Cat. No.99CH36349), pp.76-79, 1999.
DOI : 10.1109/ISCAS.1999.777809

URL : http://www.cc.gatech.edu/systems/architecture/adibrh/papers/instruction-level-power-model.pdf

V. Tiwari, S. Malik, A. Wolfe, and M. Lee, Instruction level power analysis and optimization of software, Technologies for Wireless Computing, pp.139-154, 1996.
DOI : 10.1109/icvd.1996.489624

H. Mehta, R. M. Owens, and M. J. Irwin, Instruction level power profiling, 1996 IEEE International Conference on Acoustics, Speech, and Signal Processing Conference Proceedings, pp.3326-3329, 1996.
DOI : 10.1109/ICASSP.1996.550589

V. Zaccaria, M. Sami, D. Sciuto, and C. Silvano, Power estimation and optimization methodologies for VLIW-based embedded systems, 2007.

L. Benini, D. Bruni, M. Chinosi, C. Silvano, V. Zaccaria et al., A power modeling and estimation framework for vliw-based embedded systems, Proc. Intl. Workshop on Power And Timing Modeling, Optimization and Simulation PATMOS, pp.2-3, 2001.

M. David, P. Brooks, . Bose, E. Stanley, H. Schuster et al., Power-aware microarchitecture: Design and modeling challenges for next-generation microprocessors, IEEE Micro, vol.20, issue.6, pp.26-44, 2000.

E. Diken, R. Corvino, and L. Jó´jó´zwiak, Rapid and accurate energy estimation of vector processing in VLIW ASIPs, 2013 2nd Mediterranean Conference on Embedded Computing (MECO), pp.33-37, 2013.
DOI : 10.1109/MECO.2013.6601350

S. Roy, R. Bhatia, and A. Mathur, An Accurate Energy Estimation Framework for VLIW Processor Cores, 2006 International Conference on Computer Design, pp.464-469, 2007.
DOI : 10.1109/ICCD.2006.4380857

URL : http://www.cecs.uci.edu/%7Epapers/iccd2006/papers/paper_71.pdf

A. Bona, M. Sami, D. Sciuto, V. Zaccaria, C. Silvano et al., Energy estimation and optimization of embedded VLIW processors based on instruction clustering, Proceedings of the annual Design Automation Conference, pp.886-891, 2002.

M. Meixner, G. Tobias, and . Noll, Limits of gate-level power estimation considering real delay effects and glitches, 2014 International Symposium on System-on-Chip (SoC), pp.1-7, 2014.
DOI : 10.1109/ISSOC.2014.6972437