Etude d’une lithographie ligne/espace innovante par auto-assemblage dirigé d’un copolymère à blocs pour la réalisation de dispositifs CMOS sub-20nm - Inria - Institut national de recherche en sciences et technologies du numérique Accéder directement au contenu
Thèse Année : 2017

Study of an innovative line/space directed self-assembly lithography of block copolymers for the conception of sub 20nm CMOS devices

Etude d’une lithographie ligne/espace innovante par auto-assemblage dirigé d’un copolymère à blocs pour la réalisation de dispositifs CMOS sub-20nm

Résumé

There is a fixed limit to the maximum resolution the photolithography can provide in the context of the integrated circuit’s size reduction encouraged by the microelectronic industry. The Directed Self-Assembly (DSA) of bloc copolymers (BCP) can be used as a complementary technique enabling smaller critical dimensions of features (CD) obtained by density multiplication of initial, loose i193 lithography patterns. These materials can undergo specific phase separation to self-assemble into periodic, sub-20nm ordered nanostructures.Fast, cost-efficient and highly compatible with equipment and techniques already in use in the industry for line/space (L/S) applications, the different DSA processes found in literature still suffer from defectivity, roughness and CD uniformity (CDU) issues. Most successful solutions are made possible at the loss of some of the most appealing DSA features, mainly its compatibility with current i193 lithography. In this context, the work of this thesis studied and proposed innovative solutions to the problematics posed when using graphoepitaxy as the DSA complementary technique.This work presented therein - revolving around a 38nm period lamellar PS-b-PMMA material - first tried to comprehend the mechanisms involved in the self-assembly of lamellae in one of two environment: flat configuration and 3D, graphoepitaxy configuration. In the former, a study of the parameters dictating the morphology, orientation and defect levels of the BCP was performed. This provides a mean to optimize the kinetics of self-assembly to last less than five minutes while enabling stable and reproducible morphology. Materials optimization and atmosphere composition’s impact during annealing is also discussed. This initial knowledge is then used to perform the density multiplication of L/S guiding pattern using conventional optical lithography at Leti. The study of the lamellae morphology as a function of the multiples guiding patterns’ parameters (CD, interface chemistries, thickness levels…) provides fixed process windows (PW) for a stable process over a 300mm wafer. The shape and size of these PWs is further confirmed by a statistic study of defectivity and roughness metrics as defined by a specific metrology protocol developed during this thesis. This work has led to the publication of a paper.In an effort to demonstrate its relevance in the industry, full integration of this DSA process is carried out in pursuit of functional stacked nanowire (NW) transistors acquisition. First etching tests failed though, as they revealed unknown defective formation of the lamellae at the buried interface. The etching process Leti available at Leti proved enable to compensate for these local variations of transfer features. Consequently, a new iteration of the DSA process is presented. It consists in using UV light exposure to selectively shift the interfacial energies of the guiding patterns’ surfaces. A study of the shift in both the observed lamellae morphology and the composition of the material (followed by Infrared Spectroscopy) as a function of the UV dosage is performed. It identifies a photo-oxidation mechanism which can be finely tuned to independently promote defect-free alignment of the BCP lamellae with any of the guiding pattern surfaces. This work, currently awaiting publication, is further verified by the different etching steps achieving monocrystalline silicon nanowires of controlled dimensions. The associated transistors are now being submitted to electrical characterization. Full wafer uniformity of features is a work in progress however, as BCP thickness filling of guiding patterns is still highly dependent on their density.
Dans le contexte d’une miniaturisation des circuits imprimés dans l’industrie de la microélectronique, les méthodes de structuration optiques appelées photolithographies arrivent en limite de résolution. L’utilisation complémentaire de l’auto-assemblage dirigé (DSA) de copolymère à blocs (CPB) permet de repousser les dimensions critiques (CD) atteignables tout en multipliant la densité des structures obtenues. Ces matériaux peuvent en effet former des motifs géométriques biphasés et périodiques de dimensions inférieures à la vingtaine de nanomètre. Rapides, bas coût et compatibles avec les équipements déjà disponibles dans l’industrie, les différents procédés DSA pour application ligne/espace développés dans la littérature se heurtent néanmoins à des problématiques de défectivité, de rugosité et d’uniformité des dimensions transférées. La plupart des solutions envisagées se font au détriment des arguments de base en faveur du DSA, notamment en ce qui concerne sa compatibilité avec la lithographie actuellement utilisée dans l’industrie. Dans ce contexte, le travail de thèse présenté ici s’attachera à étudier et solutionner les différentes problématiques liées à l’utilisation en graphoépitaxie du DSA comme solution complémentaire à la lithographie conventionnelle. Cette thèse centrée sur le matériau PS-b-PMMA s’est tout d’abord attachée à la compréhension des mécanismes impliqués dans la structuration de ces domaines lamellaires dans deux environnements. En configuration plane tout d’abord, l’impact des paramètres dictant la morphologie, l’orientation et la défectivité du CPB est étudié. La cinétique d’organisation des micro domaines peut alors être optimisée pour obtenir des motifs les mieux définis et les plus stables possibles, en un minimum de temps. Des optimisations matériaux proposées par la société partenaire ARKEMA sont évaluées comme prometteuses, et l’importance du contrôle de l’atmosphère sur le comportement du CPB en température est étudiée. En utilisant ces premiers acquis, le matériau est utilisé pour réaliser la densification de motifs « guides » ligne/espace préalablement réalisés par lithographie optique. L’étude de la morphologie adoptée par le polymère en fonction des multiples paramètres du guide (dimension, chimie d’interface, taux de remplissage…) permet de délimiter des fenêtres de fonctionnement pour un procédé stable sur plaque 300mm. La dimension de ces fenêtres est confirmée par une étude statistique suivant les métriques de défectivité et de rugosité, mesurées par un protocole de métrologie développé pendant cette thèse. Cette première étude a fait l’objet d’une publication d’un papier. Dans un effort de démonstration de l’intérêt de ce procédé, son intégration dans un empilement de réalisation de transistors en nanofils est réalisée. De premiers essais de transfert révèlent des problématiques de défectivités locales jusqu’alors masquées par l’épaisseur du film. Les méthodes de transferts disponibles étant incapables de corriger ces défauts, une variante du procédé DSA est développée. Elle repose sur la possibilité de modifier sélectivement les énergies de surfaces par application d’une dose contrôlée de lumière UV. Une étude associant à la fois la modification de la morphologie du PS-b-PMMA et la composition du matériau (suivie par spectroscopie infrarouge) en fonction de cette dose d’insolation révèle qu’un phénomène de photo-oxydation est responsable de ces phénomènes. Grâce à cette méthode, qui fait l’objet d’une publication en cours de soumission, les surfaces directement en contact avec le CPB sont modifiées de façon à le contraindre à adopter des configurations morphologiques sans défauts enterrés. Ce résultat est confirmé par les différentes étapes de transfert qui permettent de réaliser les nanofils désirés avec des dimensions maîtrisées. Des problématiques d’uniformité de remplissage sont toutefois adressées car elles restent un obstacle des nanofils uniformes à travers une plaque 300mm.
Fichier principal
Vignette du fichier
CLAVEAU_2017_diffusion.pdf (13.56 Mo) Télécharger le fichier
Origine : Version validée par le jury (STAR)
Loading...

Dates et versions

tel-01772011 , version 1 (20-04-2018)

Identifiants

  • HAL Id : tel-01772011 , version 1

Citer

Guillaume Claveau. Etude d’une lithographie ligne/espace innovante par auto-assemblage dirigé d’un copolymère à blocs pour la réalisation de dispositifs CMOS sub-20nm. Micro et nanotechnologies/Microélectronique. Université Grenoble Alpes, 2017. Français. ⟨NNT : 2017GREAT091⟩. ⟨tel-01772011⟩
323 Consultations
201 Téléchargements

Partager

Gmail Facebook X LinkedIn More