ReFLEX: Block Atomic Execution on Conventional ISA Cores - Inria - Institut national de recherche en sciences et technologies du numérique Accéder directement au contenu
Communication Dans Un Congrès Année : 2010

ReFLEX: Block Atomic Execution on Conventional ISA Cores

Mark Gebhart
  • Fonction : Auteur
  • PersonId : 872469
Stephen W. Keckler
  • Fonction : Auteur
  • PersonId : 872470

Résumé

Modern multicore chips target thread-level parallelism at the expense of increasing instruction-level parallelism from single threaded programs. While recent work has attempted to construct a wide-ILP machine from multiple simple cores, these approaches suffer from ISA overheads or scalability challenges. In this paper, we describe an architecture that is inspired by the scalability and flexibility of the TFLEX architecture, yet elides the unorthodox ISA and the overheads that stem from its dataflow execution model. Our results focus on the tradeoff between near out-of-order execution (small out-of-order window within a block of instructions) and far out-of-order execution across blocks. Experiments indicate that a small out-of-order window combined with block-level speculation enables our proposed ReFLEX architecture to achieve comparable performance and flexibility as TFLEX yet with simpler cores and a more conventional ISA.
Fichier principal
Vignette du fichier
PESPMA-gebhart.pdf (1.22 Mo) Télécharger le fichier
Origine : Fichiers produits par l'(les) auteur(s)
Loading...

Dates et versions

inria-00494299 , version 1 (22-06-2010)

Identifiants

  • HAL Id : inria-00494299 , version 1

Citer

Mark Gebhart, Stephen W. Keckler. ReFLEX: Block Atomic Execution on Conventional ISA Cores. Pespma 2010 - Workshop on Parallel Execution of Sequential Programs on Multi-core Architecture, Jun 2010, Saint Malo, France. ⟨inria-00494299⟩
66 Consultations
112 Téléchargements

Partager

Gmail Facebook X LinkedIn More