Modeling SystemVerilog Assertions using SysML and CCSL - Inria - Institut national de recherche en sciences et technologies du numérique Accéder directement au contenu
Communication Dans Un Congrès Année : 2015

Modeling SystemVerilog Assertions using SysML and CCSL

Résumé

SystemVerilog is a popular hardware description and verification language (HDVL) aimed at designing and verifying present-day complex embedded systems. With the increasing number of design verification assertions, engineers always feel it difficult to manage the gap between the system specification and the design validation efforts and to cope with the time-to-market factors. We describe an approach for the modeling of system design as well as validation features using the UML standards like SysML, MARTE and CCSL. We demonstrate our approach using an example of traffic light controller.
Fichier non déposé

Dates et versions

hal-01257934 , version 1 (18-01-2016)

Identifiants

  • HAL Id : hal-01257934 , version 1

Citer

Aamir Mehmood Khan, Frédéric Mallet, Rashid Muhammad. Modeling SystemVerilog Assertions using SysML and CCSL. Electronic System Level Synthesis Conference, Jun 2015, San Francisco, United States. ⟨hal-01257934⟩
201 Consultations
0 Téléchargements

Partager

Gmail Facebook X LinkedIn More