A Hexagonal Processor and Interconnect Topology for Many-Core Architecture with Dense On-Chip Networks - Inria - Institut national de recherche en sciences et technologies du numérique Accéder directement au contenu
Communication Dans Un Congrès Année : 2013

A Hexagonal Processor and Interconnect Topology for Many-Core Architecture with Dense On-Chip Networks

Zhibin Xiao
  • Fonction : Auteur
  • PersonId : 1000382
Bevan Baas
  • Fonction : Auteur
  • PersonId : 1000383

Résumé

Network-on-Chips (NoCs) are used to connect large numbers of processors in many-core processor architecture because they perform better than less scalable methods such as global shared buses. Among all NoC design parameters, NoC topologies define how nodes are placed and connected and greatly affect the performance, energy efficiency, and circuit area of many-core processor arrays. Due to its simplicity and the fact that processor tiles are traditionally square or rectangular, 2D mesh is mostly used for existing on-chip networks. However, efficiently mapping applications can be a challenge for cases that require communication between processors that are not adjacent on the 2D mesh. Motivated by the fact that applications often have largely localized communication patterns, we have proposed an 8-neighbor mesh topology and a 6-neighbor topology with hexagonal-shaped processor tiles, both of which increase local connectivity while keep much of the simplicity of a mesh-based topology. We have physically designed a 16-bit DSP processor and the corresponding processor arrays which utilize all three topologies. A 1080p H.264/AVC residual video encoder and a 54 Mbps 802.11a/11g OFDM wireless LAN baseband receiver are mapped onto all topologies. The 6-neighbor hexagonal grid topology incurs a 2.9% area increase per tile compared to the 4-neighbor 2D mesh, but its much more effective inter-processor interconnect yields an average total application area reduction of 21%, an average power reduction of 17%, and a total application inter-processor communication distance reduction of 19%.
Fichier principal
Vignette du fichier
978-3-642-45073-0_7_Chapter.pdf (3.14 Mo) Télécharger le fichier
Origine : Fichiers produits par l'(les) auteur(s)
Loading...

Dates et versions

hal-01456966 , version 1 (06-02-2017)

Licence

Paternité

Identifiants

Citer

Zhibin Xiao, Bevan Baas. A Hexagonal Processor and Interconnect Topology for Many-Core Architecture with Dense On-Chip Networks. 20th International Conference on Very Large Scale Integration (VLSI-SoC), Aug 2012, Santa Cruz, CA, United States. pp.125-143, ⟨10.1007/978-3-642-45073-0_7⟩. ⟨hal-01456966⟩
105 Consultations
423 Téléchargements

Altmetric

Partager

Gmail Facebook X LinkedIn More