A New Case for Skewed-Associativity - Inria - Institut national de recherche en sciences et technologies du numérique Accéder directement au contenu
Rapport (Rapport De Recherche) Année : 1997

A New Case for Skewed-Associativity

Résumé

Skewed-associative caches have been shown to statisticaly exhibit lower miss ratios than set-associative caches at equal associativity degrees. But for L1 caches, the performance of a four-way set-associative cache is known to be quite close to that of a 16-way set-associative cache. In this paper, we first show that BTBs and L2 caches featuring long line size may benefit a lot from a high associativity degree on set-associative caches and a LRU replacement policy. Skewed-associative caches with an hypothetic LRU replacement policy «provide» this high associativity but with a low number of cache banks. Unfortunately, LRU replacement policies can not be easily implemented in hardware on skewed-associative caches. We propose some new replacement heuristics for skewed-associative caches. Those heuristics exhibit slightly worse behavior than a full LRU replacement policy, but still allow a 2-way skewed-associative cache to outperform a 4-way LRU set-associative cache and a 4-way skewed-associative cache to outperform a 16-way LRU set-associative cache. Therefore skewed-associativity allows significant miss reduction on BTBs and L2 caches.

Domaines

Autre [cs.OH]
Fichier principal
Vignette du fichier
RR-3208.pdf (273.11 Ko) Télécharger le fichier

Dates et versions

inria-00073481 , version 1 (24-05-2006)

Identifiants

  • HAL Id : inria-00073481 , version 1

Citer

André Seznec. A New Case for Skewed-Associativity. [Research Report] RR-3208, INRIA. 1997. ⟨inria-00073481⟩
232 Consultations
716 Téléchargements

Partager

Gmail Facebook X LinkedIn More