HeDGE: Hybrid Dataflow Graph Execution in the Issue Logic - Inria - Institut national de recherche en sciences et technologies du numérique Accéder directement au contenu
Communication Dans Un Congrès Année : 2009

HeDGE: Hybrid Dataflow Graph Execution in the Issue Logic

Suriya Subramanian
  • Fonction : Auteur
  • PersonId : 866042
Kathryn S. Mckinley
  • Fonction : Auteur
  • PersonId : 866043

Résumé

Exposing more instruction-level parallelism in out-of-order superscalar processors requires increasing the number of dynamic in-flight instructions. However, large instruction windows increase power consumption and latency in the issue logic. We propose a design called Hybrid Dataflow Graph Execution (HeDGE) for conventional Instruction Set Architectures (ISAs). HeDGE explicitly maintains dependences between instructions in the issue window by modifying the issue, register renaming, and wakeup logic. The HeDGE wakeup logic notifies only consumer instructions when data values arrive. Explicit consumer encoding naturally leads to the use of Random Access Memory (RAM) instead of Content Addressable Memory (CAM) needed for broadcast. HeDGE is distinguished from prior approaches in part because it dynamically inserts forwarding instructions. Although these additional instructions degrade performance by an average of 3 to 17% for SPEC C and Fortran benchmarks and 1.5% to 8% for DaCapo Java benchmarks, they enable energy efficient execution in large instruction windows. The HeDGE RAM-based instruction window consumes on average 98% less energy than a conventional CAM as modeled in CACTI for 70nm technology. In conventional designs, this structure contributes 7 to 20% to total energy consumption. HeDGE allows us to achieve power and energy gains by using RAMs in the issue logic while maintaining a conventional instruction set.

Dates et versions

inria-00446333 , version 1 (12-01-2010)

Identifiants

Citer

Suriya Subramanian, Kathryn S. Mckinley. HeDGE: Hybrid Dataflow Graph Execution in the Issue Logic. HiPEAC 2009 - High Performance and Embedded Architectures and Compilers, Jan 2009, Paphos, Cyprus. ⟨10.1007/978-3-540-92990-1_23⟩. ⟨inria-00446333⟩

Collections

HIPEAC09
10 Consultations
0 Téléchargements

Altmetric

Partager

Gmail Facebook X LinkedIn More